A Presentation On Vlsi / VHDL Jnit
A Presentation On Vlsi / VHDL Jnit
VLSI / VHDL
JNIT
a
c
b
OR Gate
declaration>
Begin
<Concurrent statements>
<Processes>
<Component instantiations>
End ARCH_NAME;
Example
a c
b
OR Gate
• Example
Library IEEE;
Use IEEE.STD_LOGIC_1164.ALL;
•
Library & Packages
• Package types
• std_logic_1164 package
• std_logic_arith package
• std_logic_unsigned package
• std_logic_misc package
• Implementation
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Modeling of VHDL
• Dataflow modeling
• Behavioral modeling
• Structural modeling
Example of VHDL
--Example of ALU
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Entity alu is
Port ( a,b:in std_logic_vector(3 downto 0);
s:in std_logic_vector(3 downto 0);
f: out std_logic_vector(3 downto 0));
end alu;
Example of VHDL(cont.)