0% found this document useful (0 votes)
72 views199 pages

command

Uploaded by

joshuawe777
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as TXT, PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
72 views199 pages

command

Uploaded by

joshuawe777
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as TXT, PDF, TXT or read online on Scribd
You are on page 1/ 199

#@ #

#@ # Running dc_shell Version V-2023.12 for linux64 -- Nov 27, 2023


#@ # Date: Fri Apr 11 04:02:56 2025
#@

source /cad/synopsys/synthesis/cur/admin/setup/.synopsys_dc.setup
#@ # -- Starting source /cad/synopsys/synthesis/cur/admin/setup/.synopsys_dc.setup

#@ #
#@ # ".synopsys_dc.setup" Initialization File for
#@ #
#@ # Dc_Shell and Design_Analyzer
#@ #
#@ # The variables in this file define the behavior of many parts
#@ # of the Synopsys Synthesis Tools. Upon installation, they should
#@ # be reviewed and modified to fit your site's needs. Each engineer
#@ # can have a .synopsys file in his/her home directory or current
#@ # directory to override variable settings in this file.
#@ #
#@ # Each logical grouping of variables is commented as to their
#@ # nature and effect on the Synthesis Commands. Examples of
#@ # variable groups are the Compile Variable Group, which affects
#@ # the designs produced by the COMPILE command, and the Schematic
#@ # Variable Group, which affects the output of the create_schematic
#@ # command.
#@ #
#@ # You can type "man <group_name>_variables" in dc_shell or
#@ # design_analyzer to get help about a group of variables.
#@ # For instance, to get help about the "system" variable group,
#@ # type "help system_variables". You can also type
#@ # "man <variable name>", to get help on the that variable's
#@ # group.
#@ #
#@
#@ # System variables
#@ set sh_command_abbrev_mode "Anywhere"
#@ set sh_continue_on_error "true"
#@ update_app_var -default true sh_continue_on_error
#@ set sh_enable_page_mode "true"
#@ update_app_var -default true sh_enable_page_mode
#@ set sh_source_uses_search_path "true"
#@ update_app_var -default true sh_source_uses_search_path
#@ if {$synopsys_program_name == "dc_shell" || \
#@ $synopsys_program_name == "de_shell" || \
#@ $synopsys_program_name == "dc_sms_shell" } {
#@ set sh_new_variable_message "false"
#@ update_app_var -default false sh_new_variable_message
#@ } else {
#@ set sh_new_variable_message "true"
#@ update_app_var -default true sh_new_variable_message
#@ }
#@
#@ if {$synopsys_program_name == "dc_shell"} {
#@ set html_log_enable "false"
#@ set html_log_filename "default.html"
#@ }
#@
#@ if {$synopsys_program_name == "de_shell"} {
#@ set de_log_html_filename "default.html"
#@ }
#@
#@ if {$synopsys_program_name == "dc_shell" || $synopsys_program_name ==
"de_shell"} {
#@ lappend auto_path [file join ${synopsys_root} auxx syn lib]
#@ package require cae
#@ }
#@ # -- Starting source /cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/tm.tcl

#@ # -*- tcl -*-


#@ #
#@ # Searching for Tcl Modules. Defines a procedure, declares it as the primary
#@ # command for finding packages, however also uses the former 'package unknown'
#@ # command as a fallback.
#@ #
#@ # Locates all possible packages in a directory via a less restricted glob. The
#@ # targeted directory is derived from the name of the requested package, i.e.
#@ # the TM scan will look only at directories which can contain the requested
#@ # package. It will register all packages it found in the directory so that
#@ # future requests have a higher chance of being fulfilled by the ifneeded
#@ # database without having to come to us again.
#@ #
#@ # We do not remember where we have been and simply rescan targeted directories
#@ # when invoked again. The reasoning is this:
#@ #
#@ # - The only way we get back to the same directory is if someone is trying to
#@ # [package require] something that wasn't there on the first scan.
#@ #
#@ # Either
#@ # 1) It is there now: If we rescan, you get it; if not you don't.
#@ #
#@ # This covers the possibility that the application asked for a package
#@ # late, and the package was actually added to the installation after the
#@ # application was started. It shoukld still be able to find it.
#@ #
#@ # 2) It still is not there: Either way, you don't get it, but the rescan
#@ # takes time. This is however an error case and we dont't care that much
#@ # about it
#@ #
#@ # 3) It was there the first time; but for some reason a "package forget" has
#@ # been run, and "package" doesn't know about it anymore.
#@ #
#@ # This can be an indication that the application wishes to reload some
#@ # functionality. And should work as well.
#@ #
#@ # Note that this also strikes a balance between doing a glob targeting a
#@ # single package, and thus most likely requiring multiple globs of the same
#@ # directory when the application is asking for many packages, and trying to
#@ # glob for _everything_ in all subdirectories when looking for a package,
#@ # which comes with a heavy startup cost.
#@ #
#@ # We scan for regular packages only if no satisfying module was found.
#@
#@ namespace eval ::tcl::tm {
#@ # Default paths. None yet.
#@
#@ variable paths {}
#@
#@ # The regex pattern a file name has to match to make it a Tcl Module.
#@
#@ set pkgpattern {^([_[:alpha:]][:_[:alnum:]]*)-([[:digit:]].*)[.]tm$}
#@
#@ # Export the public API
#@
#@ namespace export path
#@ namespace ensemble create -command path -subcommands {add remove list}
#@ }
#@
#@ # ::tcl::tm::path implementations --
#@ #
#@ # Public API to the module path. See specification.
#@ #
#@ # Arguments
#@ # cmd - The subcommand to execute
#@ # args - The paths to add/remove. Must not appear querying the
#@ # path with 'list'.
#@ #
#@ # Results
#@ # No result for subcommands 'add' and 'remove'. A list of paths for
#@ # 'list'.
#@ #
#@ # Sideeffects
#@ # The subcommands 'add' and 'remove' manipulate the list of paths to
#@ # search for Tcl Modules. The subcommand 'list' has no sideeffects.
#@
#@ proc ::tcl::tm::add {args} {
#@ # PART OF THE ::tcl::tm::path ENSEMBLE
#@ #
#@ # The path is added at the head to the list of module paths.
#@ #
#@ # The command enforces the restriction that no path may be an ancestor
#@ # directory of any other path on the list. If the new path violates this
#@ # restriction an error wil be raised.
#@ #
#@ # If the path is already present as is no error will be raised and no
#@ # action will be taken.
#@
#@ variable paths
#@
#@ # We use a copy of the path as source during validation, and extend it as
#@ # well. Because we not only have to detect if the new paths are bogus with
#@ # respect to the existing paths, but also between themselves. Otherwise we
#@ # can still add bogus paths, by specifying them in a single call. This
#@ # makes the use of the new paths simpler as well, a trivial assignment of
#@ # the collected paths to the official state var.
#@
#@ set newpaths $paths
#@ foreach p $args {
#@ if {$p in $newpaths} {
#@ # Ignore a path already on the list.
#@ continue
#@ }
#@
#@ # Search for paths which are subdirectories of the new one. If there
#@ # are any then the new path violates the restriction about ancestors.
#@
#@ set pos [lsearch -glob $newpaths ${p}/*]
#@ # Cannot use "in", we need the position for the message.
#@ if {$pos >= 0} {
#@ return -code error \
#@ "$p is ancestor of existing module path [lindex $newpaths $pos]."
#@ }
#@
#@ # Now look for existing paths which are ancestors of the new one. This
#@ # reverse question forces us to loop over the existing paths, as each
#@ # element is the pattern, not the new path :(
#@
#@ foreach ep $newpaths {
#@ if {[string match ${ep}/* $p]} {
#@ return -code error \
#@ "$p is subdirectory of existing module path $ep."
#@ }
#@ }
#@
#@ set newpaths [linsert $newpaths 0 $p]
#@ }
#@
#@ # The validation of the input is complete and successful, and everything
#@ # in newpaths is either an old path, or added. We can now extend the
#@ # official list of paths, a simple assignment is sufficient.
#@
#@ set paths $newpaths
#@ return
#@ }
#@
#@ proc ::tcl::tm::remove {args} {
#@ # PART OF THE ::tcl::tm::path ENSEMBLE
#@ #
#@ # Removes the path from the list of module paths. The command is silently
#@ # ignored if the path is not on the list.
#@
#@ variable paths
#@
#@ foreach p $args {
#@ set pos [lsearch -exact $paths $p]
#@ if {$pos >= 0} {
#@ set paths [lreplace $paths $pos $pos]
#@ }
#@ }
#@ }
#@
#@ proc ::tcl::tm::list {} {
#@ # PART OF THE ::tcl::tm::path ENSEMBLE
#@
#@ variable paths
#@ return $paths
#@ }
#@
#@ # ::tcl::tm::UnknownHandler --
#@ #
#@ # Unknown handler for Tcl Modules, i.e. packages in module form.
#@ #
#@ # Arguments
#@ # original - Original [package unknown] procedure.
#@ # name - Name of desired package.
#@ # version - Version of desired package. Can be the
#@ # empty string.
#@ # exact - Either -exact or ommitted.
#@ #
#@ # Name, version, and exact are used to determine satisfaction. The
#@ # original is called iff no satisfaction was achieved. The name is also
#@ # used to compute the directory to target in the search.
#@ #
#@ # Results
#@ # None.
#@ #
#@ # Sideeffects
#@ # May populate the package ifneeded database with additional provide
#@ # scripts.
#@
#@ proc ::tcl::tm::UnknownHandler {original name args} {
#@ # Import the list of paths to search for packages in module form.
#@ # Import the pattern used to check package names in detail.
#@
#@ variable paths
#@ variable pkgpattern
#@
#@ # Without paths to search we can do nothing. (Except falling back to the
#@ # regular search).
#@
#@ if {[llength $paths]} {
#@ set pkgpath [string map {:: /} $name]
#@ set pkgroot [file dirname $pkgpath]
#@ if {$pkgroot eq "."} {
#@ set pkgroot ""
#@ }
#@
#@ # We don't remember a copy of the paths while looping. Tcl Modules are
#@ # unable to change the list while we are searching for them. This also
#@ # simplifies the loop, as we cannot get additional directories while
#@ # iterating over the list. A simple foreach is sufficient.
#@
#@ set satisfied 0
#@ foreach path $paths {
#@ if {![interp issafe] && ![file exists $path]} {
#@ continue
#@ }
#@ set currentsearchpath [file join $path $pkgroot]
#@ if {![interp issafe] && ![file exists $currentsearchpath]} {
#@ continue
#@ }
#@ set strip [llength [file split $path]]
#@
#@ # Get the module files out of the subdirectories.
#@ # - Safe Base interpreters have a restricted "glob" command that
#@ # works in this case.
#@ # - The "catch" was essential when there was no safe glob and every
#@ # call in a safe interp failed; it is retained only for corner
#@ # cases in which the eventual call to glob returns an error.
#@
#@ catch {
#@ # We always look for _all_ possible modules in the current
#@ # path, to get the max result out of the glob.
#@
#@ foreach file [glob -nocomplain -directory $currentsearchpath *.tm] {
#@ set pkgfilename [join [lrange [file split $file] $strip end] ::]
#@
#@ if {![regexp -- $pkgpattern $pkgfilename --> pkgname pkgversion]} {
#@ # Ignore everything not matching our pattern for
#@ # package names.
#@ continue
#@ }
#@ try {
#@ package vcompare $pkgversion 0
#@ } on error {} {
#@ # Ignore everything where the version part is not
#@ # acceptable to "package vcompare".
#@ continue
#@ }
#@
#@ if {([package ifneeded $pkgname $pkgversion] ne {})
#@ && (![interp issafe])
#@ } {
#@ # There's already a provide script registered for
#@ # this version of this package. Since all units of
#@ # code claiming to be the same version of the same
#@ # package ought to be identical, just stick with
#@ # the one we already have.
#@ # This does not apply to Safe Base interpreters because
#@ # the token-to-directory mapping may have changed.
#@ continue
#@ }
#@
#@ # We have found a candidate, generate a "provide script"
#@ # for it, and remember it. Note that we are using ::list
#@ # to do this; locally [list] means something else without
#@ # the namespace specifier.
#@
#@ # NOTE. When making changes to the format of the provide
#@ # command generated below CHECK that the 'LOCATE'
#@ # procedure in core file 'platform/shell.tcl' still
#@ # understands it, or, if not, update its implementation
#@ # appropriately.
#@ #
#@ # Right now LOCATE's implementation assumes that the path
#@ # of the package file is the last element in the list.
#@
#@ package ifneeded $pkgname $pkgversion \
#@ "[::list package provide $pkgname $pkgversion];[::list source -
encoding utf-8 $file]"
#@
#@ # We abort in this unknown handler only if we got a
#@ # satisfying candidate for the requested package.
#@ # Otherwise we still have to fallback to the regular
#@ # package search to complete the processing.
#@
#@ if {($pkgname eq $name)
#@ && [package vsatisfies $pkgversion {*}$args]} {
#@ set satisfied 1
#@
#@ # We do not abort the loop, and keep adding provide
#@ # scripts for every candidate in the directory, just
#@ # remember to not fall back to the regular search
#@ # anymore.
#@ }
#@ }
#@ }
#@ }
#@
#@ if {$satisfied} {
#@ return
#@ }
#@ }
#@
#@ # Fallback to previous command, if existing. See comment above about
#@ # ::list...
#@
#@ if {[llength $original]} {
#@ uplevel 1 $original [::linsert $args 0 $name]
#@ }
#@ }
#@
#@ # ::tcl::tm::Defaults --
#@ #
#@ # Determines the default search paths.
#@ #
#@ # Arguments
#@ # None
#@ #
#@ # Results
#@ # None.
#@ #
#@ # Sideeffects
#@ # May add paths to the list of defaults.
#@
#@ proc ::tcl::tm::Defaults {} {
#@ global env tcl_platform
#@
#@ regexp {^(\d+)\.(\d+)} [package provide Tcl] - major minor
#@ set exe [file normalize [info nameofexecutable]]
#@
#@ # Note that we're using [::list], not [list] because [list] means
#@ # something other than [::list] in this namespace.
#@ roots [::list \
#@ [file dirname [info library]] \
#@ [file join [file dirname [file dirname $exe]] lib] \
#@ ]
#@
#@ if {$tcl_platform(platform) eq "windows"} {
#@ set sep ";"
#@ } else {
#@ set sep ":"
#@ }
#@ for {set n $minor} {$n >= 0} {incr n -1} {
#@ foreach ev [::list \
#@ TCL${major}.${n}_TM_PATH \
#@ TCL${major}_${n}_TM_PATH \
#@ ] {
#@ if {![info exists env($ev)]} continue
#@ foreach p [split $env($ev) $sep] {
#@ path add $p
#@ }
#@ }
#@ }
#@ return
#@ }
#@
#@ # ::tcl::tm::roots --
#@ #
#@ # Public API to the module path. See specification.
#@ #
#@ # Arguments
#@ # paths - List of 'root' paths to derive search paths from.
#@ #
#@ # Results
#@ # No result.
#@ #
#@ # Sideeffects
#@ # Calls 'path add' to paths to the list of module search paths.
#@
#@ proc ::tcl::tm::roots {paths} {
#@ regexp {^(\d+)\.(\d+)} [package provide Tcl] - major minor
#@ foreach pa $paths {
#@ set p [file join $pa tcl$major]
#@ for {set n $minor} {$n >= 0} {incr n -1} {
#@ set px [file join $p ${major}.${n}]
#@ if {![interp issafe]} {set px [file normalize $px]}
#@ path add $px
#@ }
#@ set px [file join $p site-tcl]
#@ if {![interp issafe]} {set px [file normalize $px]}
#@ path add $px
#@ }
#@ return
#@ }
#@
#@ # Initialization. Set up the default paths, then insert the new handler into
#@ # the chain.
#@
#@ if {![interp issafe]} {::tcl::tm::Defaults}
#@ # -- End source /cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/tm.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/package.tcl

#@ # package.tcl --
#@ #
#@ # utility procs formerly in init.tcl which can be loaded on demand
#@ # for package management.
#@ #
#@ # Copyright (c) 1991-1993 The Regents of the University of California.
#@ # Copyright (c) 1994-1998 Sun Microsystems, Inc.
#@ #
#@ # See the file "license.terms" for information on usage and redistribution
#@ # of this file, and for a DISCLAIMER OF ALL WARRANTIES.
#@ #
#@
#@ namespace eval tcl::Pkg {}
#@
#@ # ::tcl::Pkg::CompareExtension --
#@ #
#@ # Used internally by pkg_mkIndex to compare the extension of a file to a given
#@ # extension. On Windows, it uses a case-insensitive comparison because the
#@ # file system can be file insensitive.
#@ #
#@ # Arguments:
#@ # fileName name of a file whose extension is compared
#@ # ext (optional) The extension to compare against; you must
#@ # provide the starting dot.
#@ # Defaults to [info sharedlibextension]
#@ #
#@ # Results:
#@ # Returns 1 if the extension matches, 0 otherwise
#@
#@ proc tcl::Pkg::CompareExtension {fileName {ext {}}} {
#@ global tcl_platform
#@ if {$ext eq ""} {set ext [info sharedlibextension]}
#@ if {$tcl_platform(platform) eq "windows"} {
#@ return [string equal -nocase [file extension $fileName] $ext]
#@ } else {
#@ # Some unices add trailing numbers after the .so, so
#@ # we could have something like '.so.1.2'.
#@ set root $fileName
#@ while {1} {
#@ set currExt [file extension $root]
#@ if {$currExt eq $ext} {
#@ return 1
#@ }
#@
#@ # The current extension does not match; if it is not a numeric
#@ # value, quit, as we are only looking to ignore version number
#@ # extensions. Otherwise we might return 1 in this case:
#@ # tcl::Pkg::CompareExtension foo.so.bar .so
#@ # which should not match.
#@
#@ if {![string is integer -strict [string range $currExt 1 end]]} {
#@ return 0
#@ }
#@ set root [file rootname $root]
#@ }
#@ }
#@ }
#@
#@ # pkg_mkIndex --
#@ # This procedure creates a package index in a given directory. The package
#@ # index consists of a "pkgIndex.tcl" file whose contents are a Tcl script that
#@ # sets up package information with "package require" commands. The commands
#@ # describe all of the packages defined by the files given as arguments.
#@ #
#@ # Arguments:
#@ # -direct (optional) If this flag is present, the generated
#@ # code in pkgMkIndex.tcl will cause the package to be
#@ # loaded when "package require" is executed, rather
#@ # than lazily when the first reference to an exported
#@ # procedure in the package is made.
#@ # -verbose (optional) Verbose output; the name of each file that
#@ # was successfully rocessed is printed out. Additionally,
#@ # if processing of a file failed a message is printed.
#@ # -load pat (optional) Preload any packages whose names match
#@ # the pattern. Used to handle DLLs that depend on
#@ # other packages during their Init procedure.
#@ # dir - Name of the directory in which to create the index.
#@ # args - Any number of additional arguments, each giving
#@ # a glob pattern that matches the names of one or
#@ # more shared libraries or Tcl script files in
#@ # dir.
#@
#@ proc pkg_mkIndex {args} {
#@ set usage {"pkg_mkIndex ?-direct? ?-lazy? ?-load pattern? ?-verbose? ?--?
dir ?pattern ...?"}
#@
#@ set argCount [llength $args]
#@ if {$argCount < 1} {
#@ return -code error "wrong # args: should be\n$usage"
#@ }
#@
#@ set more ""
#@ set direct 1
#@ set doVerbose 0
#@ set loadPat ""
#@ for {set idx 0} {$idx < $argCount} {incr idx} {
#@ set flag [lindex $args $idx]
#@ switch -glob -- $flag {
#@ -- {
#@ # done with the flags
#@ incr idx
#@ break
#@ }
#@ -verbose {
#@ set doVerbose 1
#@ }
#@ -lazy {
#@ set direct 0
#@ append more " -lazy"
#@ }
#@ -direct {
#@ append more " -direct"
#@ }
#@ -load {
#@ incr idx
#@ set loadPat [lindex $args $idx]
#@ append more " -load $loadPat"
#@ }
#@ -* {
#@ return -code error "unknown flag $flag: should be\n$usage"
#@ }
#@ default {
#@ # done with the flags
#@ break
#@ }
#@ }
#@ }
#@
#@ set dir [lindex $args $idx]
#@ set patternList [lrange $args [expr {$idx + 1}] end]
#@ if {![llength $patternList]} {
#@ set patternList [list "*.tcl" "*[info sharedlibextension]"]
#@ }
#@
#@ try {
#@ set fileList [glob -directory $dir -tails -types {r f} -- \
#@ {*}$patternList]
#@ } on error {msg opt} {
#@ return -options $opt $msg
#@ }
#@ foreach file $fileList {
#@ # For each file, figure out what commands and packages it provides.
#@ # To do this, create a child interpreter, load the file into the
#@ # interpreter, and get a list of the new commands and packages that
#@ # are defined.
#@
#@ if {$file eq "pkgIndex.tcl"} {
#@ continue
#@ }
#@
#@ set c [interp create]
#@
#@ # Load into the child any packages currently loaded in the parent
#@ # interpreter that match the -load pattern.
#@
#@ if {$loadPat ne ""} {
#@ if {$doVerbose} {
#@ tclLog "currently loaded packages: '[info loaded]'"
#@ tclLog "trying to load all packages matching $loadPat"
#@ }
#@ if {![llength [info loaded]]} {
#@ tclLog "warning: no packages are currently loaded, nothing"
#@ tclLog "can possibly match '$loadPat'"
#@ }
#@ }
#@ foreach pkg [info loaded] {
#@ if {![string match -nocase $loadPat [lindex $pkg 1]]} {
#@ continue
#@ }
#@ if {$doVerbose} {
#@ tclLog "package [lindex $pkg 1] matches '$loadPat'"
#@ }
#@ try {
#@ load [lindex $pkg 0] [lindex $pkg 1] $c
#@ } on error err {
#@ if {$doVerbose} {
#@ tclLog "warning: load [lindex $pkg 0]\
#@ [lindex $pkg 1]\nfailed with: $err"
#@ }
#@ } on ok {} {
#@ if {$doVerbose} {
#@ tclLog "loaded [lindex $pkg 0] [lindex $pkg 1]"
#@ }
#@ }
#@ if {[lindex $pkg 1] eq "Tk"} {
#@ # Withdraw . if Tk was loaded, to avoid showing a window.
#@ $c eval [list wm withdraw .]
#@ }
#@ }
#@
#@ $c eval {
#@ # Stub out the package command so packages can require other
#@ # packages.
#@
#@ rename package __package_orig
#@ proc package {what args} {
#@ switch -- $what {
#@ require {
#@ return; # Ignore transitive requires
#@ }
#@ default {
#@ __package_orig $what {*}$args
#@ }
#@ }
#@ }
#@ proc tclPkgUnknown args {}
#@ package unknown tclPkgUnknown
#@
#@ # Stub out the unknown command so package can call into each other
#@ # during their initialilzation.
#@
#@ proc unknown {args} {}
#@
#@ # Stub out the auto_import mechanism
#@
#@ proc auto_import {args} {}
#@
#@ # reserve the ::tcl namespace for support procs and temporary
#@ # variables. This might make it awkward to generate a
#@ # pkgIndex.tcl file for the ::tcl namespace.
#@
#@ namespace eval ::tcl {
#@ variable dir ;# Current directory being processed
#@ variable file ;# Current file being processed
#@ variable direct ;# -direct flag value
#@ variable x ;# Loop variable
#@ variable debug ;# For debugging
#@ variable type ;# "load" or "source", for -direct
#@ variable namespaces ;# Existing namespaces (e.g., ::tcl)
#@ variable packages ;# Existing packages (e.g., Tcl)
#@ variable origCmds ;# Existing commands
#@ variable newCmds ;# Newly created commands
#@ variable newPkgs {} ;# Newly created packages
#@ }
#@ }
#@
#@ $c eval [list set ::tcl::dir $dir]
#@ $c eval [list set ::tcl::file $file]
#@ $c eval [list set ::tcl::direct $direct]
#@
#@ # Download needed procedures into the child because we've just deleted
#@ # the unknown procedure. This doesn't handle procedures with default
#@ # arguments.
#@
#@ foreach p {::tcl::Pkg::CompareExtension} {
#@ $c eval [list namespace eval [namespace qualifiers $p] {}]
#@ $c eval [list proc $p [info args $p] [info body $p]]
#@ }
#@
#@ try {
#@ $c eval {
#@ set ::tcl::debug "loading or sourcing"
#@
#@ # we need to track command defined by each package even in the
#@ # -direct case, because they are needed internally by the
#@ # "partial pkgIndex.tcl" step above.
#@
#@ proc ::tcl::GetAllNamespaces {{root ::}} {
#@ set list $root
#@ foreach ns [namespace children $root] {
#@ lappend list {*}[::tcl::GetAllNamespaces $ns]
#@ }
#@ return $list
#@ }
#@
#@ # init the list of existing namespaces, packages, commands
#@
#@ foreach ::tcl::x [::tcl::GetAllNamespaces] {
#@ set ::tcl::namespaces($::tcl::x) 1
#@ }
#@ foreach ::tcl::x [package names] {
#@ if {[package provide $::tcl::x] ne ""} {
#@ set ::tcl::packages($::tcl::x) 1
#@ }
#@ }
#@ set ::tcl::origCmds [info commands]
#@
#@ # Try to load the file if it has the shared library extension,
#@ # otherwise source it. It's important not to try to load
#@ # files that aren't shared libraries, because on some systems
#@ # (like SunOS) the loader will abort the whole application
#@ # when it gets an error.
#@
#@ if {[::tcl::Pkg::CompareExtension $::tcl::file [info
sharedlibextension]]} {
#@ # The "file join ." command below is necessary. Without
#@ # it, if the file name has no \'s and we're on UNIX, the
#@ # load command will invoke the LD_LIBRARY_PATH search
#@ # mechanism, which could cause the wrong file to be used.
#@
#@ set ::tcl::debug loading
#@ load [file join $::tcl::dir $::tcl::file]
#@ set ::tcl::type load
#@ } else {
#@ set ::tcl::debug sourcing
#@ source [file join $::tcl::dir $::tcl::file]
#@ set ::tcl::type source
#@ }
#@
#@ # As a performance optimization, if we are creating direct
#@ # load packages, don't bother figuring out the set of commands
#@ # created by the new packages. We only need that list for
#@ # setting up the autoloading used in the non-direct case.
#@ if {!$::tcl::direct} {
#@ # See what new namespaces appeared, and import commands
#@ # from them. Only exported commands go into the index.
#@
#@ foreach ::tcl::x [::tcl::GetAllNamespaces] {
#@ if {![info exists ::tcl::namespaces($::tcl::x)]} {
#@ namespace import -force ${::tcl::x}::*
#@ }
#@
#@ # Figure out what commands appeared
#@
#@ foreach ::tcl::x [info commands] {
#@ set ::tcl::newCmds($::tcl::x) 1
#@ }
#@ foreach ::tcl::x $::tcl::origCmds {
#@ unset -nocomplain ::tcl::newCmds($::tcl::x)
#@ }
#@ foreach ::tcl::x [array names ::tcl::newCmds] {
#@ # determine which namespace a command comes from
#@
#@ set ::tcl::abs [namespace origin $::tcl::x]
#@
#@ # special case so that global names have no
#@ # leading ::, this is required by the unknown
#@ # command
#@
#@ set ::tcl::abs \
#@ [lindex [auto_qualify $::tcl::abs ::] 0]
#@
#@ if {$::tcl::x ne $::tcl::abs} {
#@ # Name changed during qualification
#@
#@ set ::tcl::newCmds($::tcl::abs) 1
#@ unset ::tcl::newCmds($::tcl::x)
#@ }
#@ }
#@ }
#@ }
#@
#@ # Look through the packages that appeared, and if there is a
#@ # version provided, then record it
#@
#@ foreach ::tcl::x [package names] {
#@ if {[package provide $::tcl::x] ne ""
#@ && ![info exists ::tcl::packages($::tcl::x)]} {
#@ lappend ::tcl::newPkgs \
#@ [list $::tcl::x [package provide $::tcl::x]]
#@ }
#@ }
#@ }
#@ } on error msg {
#@ set what [$c eval set ::tcl::debug]
#@ if {$doVerbose} {
#@ tclLog "warning: error while $what $file: $msg"
#@ }
#@ } on ok {} {
#@ set what [$c eval set ::tcl::debug]
#@ if {$doVerbose} {
#@ tclLog "successful $what of $file"
#@ }
#@ set type [$c eval set ::tcl::type]
#@ set cmds [lsort [$c eval array names ::tcl::newCmds]]
#@ set pkgs [$c eval set ::tcl::newPkgs]
#@ if {$doVerbose} {
#@ if {!$direct} {
#@ tclLog "commands provided were $cmds"
#@ }
#@ tclLog "packages provided were $pkgs"
#@ }
#@ if {[llength $pkgs] > 1} {
#@ tclLog "warning: \"$file\" provides more than one package ($pkgs)"
#@ }
#@ foreach pkg $pkgs {
#@ # cmds is empty/not used in the direct case
#@ lappend files($pkg) [list $file $type $cmds]
#@ }
#@
#@ if {$doVerbose} {
#@ tclLog "processed $file"
#@ }
#@ }
#@ interp delete $c
#@ }
#@
#@ append index "# Tcl package index file, version 1.1\n"
#@ append index "# This file is generated by the \"pkg_mkIndex$more\" command\
n"
#@ append index "# and sourced either when an application starts up or\n"
#@ append index "# by a \"package unknown\" script. It invokes the\n"
#@ append index "# \"package ifneeded\" command to set up package-related\n"
#@ append index "# information so that packages will be loaded automatically\n"
#@ append index "# in response to \"package require\" commands. When this\n"
#@ append index "# script is sourced, the variable \$dir must contain the\n"
#@ append index "# full path name of this file's directory.\n"
#@
#@ foreach pkg [lsort [array names files]] {
#@ set cmd {}
#@ lassign $pkg name version
#@ lappend cmd ::tcl::Pkg::Create -name $name -version $version
#@ foreach spec [lsort -index 0 $files($pkg)] {
#@ foreach {file type procs} $spec {
#@ if {$direct} {
#@ set procs {}
#@ }
#@ lappend cmd "-$type" [list $file $procs]
#@ }
#@ }
#@ append index "\n[eval $cmd]"
#@ }
#@
#@ set f [open [file join $dir pkgIndex.tcl] w]
#@ puts $f $index
#@ close $f
#@ }
#@
#@ # tclPkgSetup --
#@ # This is a utility procedure use by pkgIndex.tcl files. It is invoked as
#@ # part of a "package ifneeded" script. It calls "package provide" to indicate
#@ # that a package is available, then sets entries in the auto_index array so
#@ # that the package's files will be auto-loaded when the commands are used.
#@ #
#@ # Arguments:
#@ # dir - Directory containing all the files for this package.
#@ # pkg - Name of the package (no version number).
#@ # version - Version number for the package, such as 2.1.3.
#@ # files - List of files that constitute the package. Each
#@ # element is a sub-list with three elements. The first
#@ # is the name of a file relative to $dir, the second is
#@ # "load" or "source", indicating whether the file is a
#@ # loadable binary or a script to source, and the third
#@ # is a list of commands defined by this file.
#@
#@ proc tclPkgSetup {dir pkg version files} {
#@ global auto_index
#@
#@ package provide $pkg $version
#@ foreach fileInfo $files {
#@ set f [lindex $fileInfo 0]
#@ set type [lindex $fileInfo 1]
#@ foreach cmd [lindex $fileInfo 2] {
#@ if {$type eq "load"} {
#@ set auto_index($cmd) [list load [file join $dir $f] $pkg]
#@ } else {
#@ set auto_index($cmd) [list source [file join $dir $f]]
#@ }
#@ }
#@ }
#@ }
#@
#@ # tclPkgUnknown --
#@ # This procedure provides the default for the "package unknown" function. It
#@ # is invoked when a package that's needed can't be found. It scans the
#@ # auto_path directories and their immediate children looking for pkgIndex.tcl
#@ # files and sources any such files that are found to setup the package
#@ # database. As it searches, it will recognize changes to the auto_path and
#@ # scan any new directories.
#@ #
#@ # Arguments:
#@ # name - Name of desired package. Not used.
#@ # version - Version of desired package. Not used.
#@ # exact - Either "-exact" or omitted. Not used.
#@
#@ proc tclPkgUnknown {name args} {
#@ global auto_path env
#@
#@ if {![info exists auto_path]} {
#@ return
#@ }
#@ # Cache the auto_path, because it may change while we run through the
#@ # first set of pkgIndex.tcl files
#@ set old_path [set use_path $auto_path]
#@ while {[llength $use_path]} {
#@ set dir [lindex $use_path end]
#@
#@ # Make sure we only scan each directory one time.
#@ if {[info exists tclSeenPath($dir)]} {
#@ set use_path [lrange $use_path 0 end-1]
#@ continue
#@ }
#@ set tclSeenPath($dir) 1
#@
#@ # Get the pkgIndex.tcl files in subdirectories of auto_path directories.
#@ # - Safe Base interpreters have a restricted "glob" command that
#@ # works in this case.
#@ # - The "catch" was essential when there was no safe glob and every
#@ # call in a safe interp failed; it is retained only for corner
#@ # cases in which the eventual call to glob returns an error.
#@ catch {
#@ foreach file [glob -directory $dir -join -nocomplain \
#@ * pkgIndex.tcl] {
#@ set dir [file dirname $file]
#@ if {![info exists procdDirs($dir)]} {
#@ try {
#@ source $file
#@ } trap {POSIX EACCES} {} {
#@ # $file was not readable; silently ignore
#@ continue
#@ } on error msg {
#@ tclLog "error reading package index file $file: $msg"
#@ } on ok {} {
#@ set procdDirs($dir) 1
#@ }
#@ }
#@ }
#@ }
#@ set dir [lindex $use_path end]
#@ if {![info exists procdDirs($dir)]} {
#@ set file [file join $dir pkgIndex.tcl]
#@ # safe interps usually don't have "file exists",
#@ if {([interp issafe] || [file exists $file])} {
#@ try {
#@ source $file
#@ } trap {POSIX EACCES} {} {
#@ # $file was not readable; silently ignore
#@ continue
#@ } on error msg {
#@ tclLog "error reading package index file $file: $msg"
#@ } on ok {} {
#@ set procdDirs($dir) 1
#@ }
#@ }
#@ }
#@
#@ set use_path [lrange $use_path 0 end-1]
#@
#@ # Check whether any of the index scripts we [source]d above set a new
#@ # value for $::auto_path. If so, then find any new directories on the
#@ # $::auto_path, and lappend them to the $use_path we are working from.
#@ # This gives index scripts the (arguably unwise) power to expand the
#@ # index script search path while the search is in progress.
#@ set index 0
#@ if {[llength $old_path] == [llength $auto_path]} {
#@ foreach dir $auto_path old $old_path {
#@ if {$dir ne $old} {
#@ # This entry in $::auto_path has changed.
#@ break
#@ }
#@ incr index
#@ }
#@ }
#@
#@ # $index now points to the first element of $auto_path that has
#@ # changed, or the beginning if $auto_path has changed length Scan the
#@ # new elements of $auto_path for directories to add to $use_path.
#@ # Don't add directories we've already seen, or ones already on the
#@ # $use_path.
#@ foreach dir [lrange $auto_path $index end] {
#@ if {![info exists tclSeenPath($dir)] && ($dir ni $use_path)} {
#@ lappend use_path $dir
#@ }
#@ }
#@ set old_path $auto_path
#@ }
#@ }
#@
#@ # tcl::MacOSXPkgUnknown --
#@ # This procedure extends the "package unknown" function for MacOSX. It scans
#@ # the Resources/Scripts directories of the immediate children of the auto_path
#@ # directories for pkgIndex files.
#@ #
#@ # Arguments:
#@ # original - original [package unknown] procedure
#@ # name - Name of desired package. Not used.
#@ # version - Version of desired package. Not used.
#@ # exact - Either "-exact" or omitted. Not used.
#@
#@ proc tcl::MacOSXPkgUnknown {original name args} {
#@ # First do the cross-platform default search
#@ uplevel 1 $original [linsert $args 0 $name]
#@
#@ # Now do MacOSX specific searching
#@ global auto_path
#@
#@ if {![info exists auto_path]} {
#@ return
#@ }
#@ # Cache the auto_path, because it may change while we run through the
#@ # first set of pkgIndex.tcl files
#@ set old_path [set use_path $auto_path]
#@ while {[llength $use_path]} {
#@ set dir [lindex $use_path end]
#@
#@ # Make sure we only scan each directory one time.
#@ if {[info exists tclSeenPath($dir)]} {
#@ set use_path [lrange $use_path 0 end-1]
#@ continue
#@ }
#@ set tclSeenPath($dir) 1
#@
#@ # get the pkgIndex files out of the subdirectories
#@ # Safe interpreters do not use tcl::MacOSXPkgUnknown - see init.tcl.
#@ foreach file [glob -directory $dir -join -nocomplain \
#@ * Resources Scripts pkgIndex.tcl] {
#@ set dir [file dirname $file]
#@ if {![info exists procdDirs($dir)]} {
#@ try {
#@ source $file
#@ } trap {POSIX EACCES} {} {
#@ # $file was not readable; silently ignore
#@ continue
#@ } on error msg {
#@ tclLog "error reading package index file $file: $msg"
#@ } on ok {} {
#@ set procdDirs($dir) 1
#@ }
#@ }
#@ }
#@ set use_path [lrange $use_path 0 end-1]
#@
#@ # Check whether any of the index scripts we [source]d above set a new
#@ # value for $::auto_path. If so, then find any new directories on the
#@ # $::auto_path, and lappend them to the $use_path we are working from.
#@ # This gives index scripts the (arguably unwise) power to expand the
#@ # index script search path while the search is in progress.
#@ set index 0
#@ if {[llength $old_path] == [llength $auto_path]} {
#@ foreach dir $auto_path old $old_path {
#@ if {$dir ne $old} {
#@ # This entry in $::auto_path has changed.
#@ break
#@ }
#@ incr index
#@ }
#@ }
#@
#@ # $index now points to the first element of $auto_path that has
#@ # changed, or the beginning if $auto_path has changed length Scan the
#@ # new elements of $auto_path for directories to add to $use_path.
#@ # Don't add directories we've already seen, or ones already on the
#@ # $use_path.
#@ foreach dir [lrange $auto_path $index end] {
#@ if {![info exists tclSeenPath($dir)] && ($dir ni $use_path)} {
#@ lappend use_path $dir
#@ }
#@ }
#@ set old_path $auto_path
#@ }
#@ }
#@
#@ # ::tcl::Pkg::Create --
#@ #
#@ # Given a package specification generate a "package ifneeded" statement
#@ # for the package, suitable for inclusion in a pkgIndex.tcl file.
#@ #
#@ # Arguments:
#@ # args arguments used by the Create function:
#@ # -name packageName
#@ # -version packageVersion
#@ # -load {filename ?{procs}?}
#@ # ...
#@ # -source {filename ?{procs}?}
#@ # ...
#@ #
#@ # Any number of -load and -source parameters may be
#@ # specified, so long as there is at least one -load or
#@ # -source parameter. If the procs component of a module
#@ # specifier is left off, that module will be set up for
#@ # direct loading; otherwise, it will be set up for lazy
#@ # loading. If both -source and -load are specified, the
#@ # -load'ed files will be loaded first, followed by the
#@ # -source'd files.
#@ #
#@ # Results:
#@ # An appropriate "package ifneeded" statement for the package.
#@
#@ proc ::tcl::Pkg::Create {args} {
#@ append err(usage) "[lindex [info level 0] 0] "
#@ append err(usage) "-name packageName -version packageVersion"
#@ append err(usage) "?-load {filename ?{procs}?}? ... "
#@ append err(usage) "?-source {filename ?{procs}?}? ..."
#@
#@ set err(wrongNumArgs) "wrong # args: should be \"$err(usage)\""
#@ set err(valueMissing) "value for \"%s\" missing: should be \"$err(usage)\""
#@ set err(unknownOpt) "unknown option \"%s\": should be \"$err(usage)\""
#@ set err(noLoadOrSource) "at least one of -load and -source must be given"
#@
#@ # process arguments
#@ set len [llength $args]
#@ if {$len < 6} {
#@ error $err(wrongNumArgs)
#@ }
#@
#@ # Initialize parameters
#@ array set opts {-name {} -version {} -source {} -load {}}
#@
#@ # process parameters
#@ for {set i 0} {$i < $len} {incr i} {
#@ set flag [lindex $args $i]
#@ incr i
#@ switch -glob -- $flag {
#@ "-name" -
#@ "-version" {
#@ if {$i >= $len} {
#@ error [format $err(valueMissing) $flag]
#@ }
#@ set opts($flag) [lindex $args $i]
#@ }
#@ "-source" -
#@ "-load" {
#@ if {$i >= $len} {
#@ error [format $err(valueMissing) $flag]
#@ }
#@ lappend opts($flag) [lindex $args $i]
#@ }
#@ default {
#@ error [format $err(unknownOpt) [lindex $args $i]]
#@ }
#@ }
#@ }
#@
#@ # Validate the parameters
#@ if {![llength $opts(-name)]} {
#@ error [format $err(valueMissing) "-name"]
#@ }
#@ if {![llength $opts(-version)]} {
#@ error [format $err(valueMissing) "-version"]
#@ }
#@
#@ if {!([llength $opts(-source)] || [llength $opts(-load)])} {
#@ error $err(noLoadOrSource)
#@ }
#@
#@ # OK, now everything is good. Generate the package ifneeded statment.
#@ set cmdline "package ifneeded $opts(-name) $opts(-version) "
#@
#@ set cmdList {}
#@ set lazyFileList {}
#@
#@ # Handle -load and -source specs
#@ foreach key {load source} {
#@ foreach filespec $opts(-$key) {
#@ lassign $filespec filename proclist
#@
#@ if { [llength $proclist] == 0 } {
#@ set cmd "\[list $key \[file join \$dir [list $filename]\]\]"
#@ lappend cmdList $cmd
#@ } else {
#@ lappend lazyFileList [list $filename $key $proclist]
#@ }
#@ }
#@ }
#@
#@ if {[llength $lazyFileList]} {
#@ lappend cmdList "\[list tclPkgSetup \$dir $opts(-name)\
#@ $opts(-version) [list $lazyFileList]\]"
#@ }
#@ append cmdline [join $cmdList "\\n"]
#@ return $cmdline
#@ }
#@
#@ interp alias {} ::pkg::create {} ::tcl::Pkg::Create
#@ # -- End source /cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/package.tcl

#@ # -- Starting source /cad/synopsys/synthesis/cur/auxx/syn/lib/pkgIndex.tcl

#@ # Copyright (c) 2016 Synopsys, Inc. This Synopsys software and all
#@ # associated documentation are proprietary to Synopsys, Inc. and may
#@ # only be used pursuant to the terms and conditions of a written
#@ # license agreement with Synopsys, Inc. All other use, reproduction,
#@ # modification, or distribution of the Synopsys software or the
#@ # associated documentation is strictly prohibited.
#@
#@ package ifneeded cae 1.0 [list source [file join $dir syn.tcl]]
#@ package ifneeded cae::utils 1.0 [list source [file join $dir utils utils.tcl]]
#@ # -- End source /cad/synopsys/synthesis/cur/auxx/syn/lib/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/itcl4.2.1/pkgIndex.tcl

#@ # Tcl package index file, version 1.0


#@ #
#@ # Do NOT try this command
#@ #
#@ # if {![package vsatisfies [package provide Tcl] 8.6-]} {return}
#@ #
#@ # as a way to accept working with all of Tcl 8.6, Tcl 8.X, X>6, and
#@ # Tcl Y, for Y > 8.
#@ # Itcl is a binary package, added to an interp with [load].
#@ # There is no libitcl.so that will [load] into both Tcl 8 and Tcl 9.
#@ # The indexed libitcl.so was built to [load] into one or the other.
#@ # Thus the pkgIndex.tcl should only accept the version of Tcl for which
#@ # the indexed libitcl4.2.1.a was built.
#@ #
#@ # More work replacing the literal "8.6" below with the proper value substituted
#@ # by configure is the right way forward.
#@
#@ if {![package vsatisfies [package provide Tcl] 8.6]} {return}
#@
#@ package ifneeded itcl 4.2.1 [list load "libitcl-O.so" Itcl]
#@ package ifneeded Itcl 4.2.1 [list load "libitcl-O.so" Itcl]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/itcl4.2.1/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/iwidgets4.1/pkgIndex.tcl

#@ # Tcl package index file, version 1.0


#@
#@ package ifneeded Iwidgets 4.1.1 [list source [file join $dir iwidgets.tcl]]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/iwidgets4.1/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/snps_tcl/snpsTest/pkgIndex.tcl

#@ # Tcl package index file, version 1.1


#@ # This file is generated by the "pkg_mkIndex -direct" command
#@ # and sourced either when an application starts up or
#@ # by a "package unknown" script. It invokes the
#@ # "package ifneeded" command to set up package-related
#@ # information so that packages will be loaded automatically
#@ # in response to "package require" commands. When this
#@ # script is sourced, the variable $dir must contain the
#@ # full path name of this file's directory.
#@
#@ package ifneeded snpsTest 1.0 [list source [file join $dir snpsTest.tcl]]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/snps_tcl/snpsTest/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/snps_tcl/snpsTclPro/pkgIndex.tcl

#@ # Tcl package index file, version 1.1


#@ # This file is generated by the "pkg_mkIndex -direct" command
#@ # and sourced either when an application starts up or
#@ # by a "package unknown" script. It invokes the
#@ # "package ifneeded" command to set up package-related
#@ # information so that packages will be loaded automatically
#@ # in response to "package require" commands. When this
#@ # script is sourced, the variable $dir must contain the
#@ # full path name of this file's directory.
#@
#@ package ifneeded snpsTclPro 1.0 [list source [file join $dir
snpsTclPro.tcl]]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/snps_tcl/snpsTclPro/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/snps_tcl/snpsUtils/pkgIndex.tcl

#@ # Tcl package index file, version 1.1


#@ # This file is generated by the "pkg_mkIndex -direct" command
#@ # and sourced either when an application starts up or
#@ # by a "package unknown" script. It invokes the
#@ # "package ifneeded" command to set up package-related
#@ # information so that packages will be loaded automatically
#@ # in response to "package require" commands. When this
#@ # script is sourced, the variable $dir must contain the
#@ # full path name of this file's directory.
#@
#@ package ifneeded snpsUtils 1.0 [list source [file join $dir snpsUtils.tcl]]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/snps_tcl/snpsUtils/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/snps_tcl/pkgIndex.tcl

#@ package ifneeded Itcl 3.4 {load {} Itcl}


#@ package ifneeded tbcload 1.7 {load {} tbcload}
#@ # -- End source /cad/synopsys/synthesis/cur/auxx/tcllib/snps_tcl/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/tcltest/pkgIndex.tcl

#@ # Tcl package index file, version 1.1


#@ # This file is generated by the "pkg_mkIndex -direct" command
#@ # and sourced either when an application starts up or
#@ # by a "package unknown" script. It invokes the
#@ # "package ifneeded" command to set up package-related
#@ # information so that packages will be loaded automatically
#@ # in response to "package require" commands. When this
#@ # script is sourced, the variable $dir must contain the
#@ # full path name of this file's directory.
#@
#@ if {![package vsatisfies [package provide Tcl] 8.5-]} {return}
#@ package ifneeded tcltest 2.5.3 [list source [file join $dir tcltest.tcl]]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/tcltest/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/msgcat/pkgIndex.tcl

#@ if {![package vsatisfies [package provide Tcl] 8.5-]} {return}


#@ package ifneeded msgcat 1.6.1 [list source [file join $dir msgcat.tcl]]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/msgcat/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/reg/pkgIndex.tcl

#@ if {![package vsatisfies [package provide Tcl] 8.5]} return


#@ if {[info sharedlibextension] != ".dll"} return
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/reg/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/http1.0/pkgIndex.tcl

#@ # Tcl package index file, version 1.0


#@ # This file is generated by the "pkg_mkIndex" command
#@ # and sourced either when an application starts up or
#@ # by a "package unknown" script. It invokes the
#@ # "package ifneeded" command to set up package-related
#@ # information so that packages will be loaded automatically
#@ # in response to "package require" commands. When this
#@ # script is sourced, the variable $dir must contain the
#@ # full path name of this file's directory.
#@
#@ package ifneeded http 1.0 [list tclPkgSetup $dir http 1.0 {{http.tcl source
{httpCopyDone httpCopyStart httpEof httpEvent httpFinish httpMapReply
httpProxyRequired http_code http_config http_data http_formatQuery http_get
http_reset http_size http_status http_wait}}}]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/http1.0/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/dde/pkgIndex.tcl

#@ if {![package vsatisfies [package provide Tcl] 8.5]} return


#@ if {[info sharedlibextension] != ".dll"} return
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/dde/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/http/pkgIndex.tcl

#@ if {![package vsatisfies [package provide Tcl] 8.6-]} {return}


#@ package ifneeded http 2.9.5 [list tclPkgSetup $dir http 2.9.5 {{http.tcl source
{::http::config ::http::formatQuery ::http::geturl ::http::reset ::http::wait ::htt
p::register ::http::unregister ::http::mapReply}}}]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/http/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/opt/pkgIndex.tcl

#@ # Tcl package index file, version 1.1


#@ # This file is generated by the "pkg_mkIndex -direct" command
#@ # and sourced either when an application starts up or
#@ # by a "package unknown" script. It invokes the
#@ # "package ifneeded" command to set up package-related
#@ # information so that packages will be loaded automatically
#@ # in response to "package require" commands. When this
#@ # script is sourced, the variable $dir must contain the
#@ # full path name of this file's directory.
#@
#@ if {![package vsatisfies [package provide Tcl] 8.5-]} {return}
#@ package ifneeded opt 0.4.8 [list source [file join $dir optparse.tcl]]
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/opt/pkgIndex.tcl

#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/platform/pkgIndex.tcl

#@ package ifneeded platform 1.0.15 [list source [file join $dir


platform.tcl]]
#@ package ifneeded platform::shell 1.1.4 [list source [file join $dir shell.tcl]]
#@
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/tcllib/lib/tcl8.6/platform/pkgIndex.tcl

#@ # -- Starting source /cad/synopsys/synthesis/cur/auxx/syn/lib/syn.tcl

#@ # Copyright (c) 2016 Synopsys, Inc. This Synopsys software and all
#@ # associated documentation are proprietary to Synopsys, Inc. and may
#@ # only be used pursuant to the terms and conditions of a written
#@ # license agreement with Synopsys, Inc. All other use, reproduction,
#@ # modification, or distribution of the Synopsys software or the
#@ # associated documentation is strictly prohibited.
#@
#@ # primary file of syn package
#@
#@ # require all the sub-packages (if any)
#@
#@ # name of the provided package
#@
#@ package provide cae 1.0
#@
#@ # create command group after loading sub packages
#@ # because last group is listed first by "help"
#@
#@ create_command_group "syn" \
#@ -info "synthesis utilities"
#@
#@ namespace eval ::cae {
#@ variable selfdir [file dirname [info script]]
#@ variable scripts [list \
#@ auto_path_groups.tcl \
#@ ]
#@ variable script
#@ }
#@
#@ # source encrypted version if available
#@ # encrypted commands must use "define_proc_attributes -hide_body"
#@
#@ foreach ::cae::script ${::cae::scripts} {
#@ if { [file exists ${::cae::selfdir}/${::cae::script}.e] } {
#@ source ${::cae::selfdir}/${::cae::script}.e
#@ } else {
#@ source ${::cae::selfdir}/${::cae::script}
#@ }
#@ }
#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/syn/lib/auto_path_groups.tcl

#@ # Copyright (c) 2016-2017 Synopsys, Inc. This Synopsys software and all
#@ # associated documentation are proprietary to Synopsys, Inc. and may
#@ # only be used pursuant to the terms and conditions of a written
#@ # license agreement with Synopsys, Inc. All other use, reproduction,
#@ # modification, or distribution of the Synopsys software or the
#@ # associated documentation is strictly prohibited.
#@
#@ package require cae::utils
#@ # -- Starting source /cad/synopsys/synthesis/cur/auxx/syn/lib/utils/utils.tcl

#@ # Copyright (c) 2016 Synopsys, Inc. This Synopsys software and all
#@ # associated documentation are proprietary to Synopsys, Inc. and may
#@ # only be used pursuant to the terms and conditions of a written
#@ # license agreement with Synopsys, Inc. All other use, reproduction,
#@ # modification, or distribution of the Synopsys software or the
#@ # associated documentation is strictly prohibited.
#@
#@ # primary file of cae::utils package
#@
#@ # name of the provided package
#@
#@ package provide cae::utils 1.0
#@
#@ namespace eval ::cae::utils {
#@ }
#@
#@ proc ::cae::utils::msg { type s } {
#@ switch -glob -- ${type} {
#@ i* { return "INFO: ${s}" }
#@ w* { return "WARNING: ${s}" }
#@ e* { return "ERROR: ${s}" }
#@ }
#@ return
#@ }
#@ # -- End source /cad/synopsys/synthesis/cur/auxx/syn/lib/utils/utils.tcl

#@
#@ namespace eval ::cae {
#@
#@ # note: check if these are all necessary
#@ variable auto_path_groups_messages {ATTR-3 OPT-806 OPT-774 UID-101 TIM-134
TIM-99 OPT-806 MWUI-203 MW-212 TIM-112}
#@
#@ # default prefix for path groups
#@ variable auto_path_groups_prefix synopsys_pg_
#@ # default slack for path groups
#@ variable auto_path_groups_slack 0.0
#@ # default max for path groups
#@ variable auto_path_groups_max 0
#@ # default verbosity
#@ variable auto_path_groups_verbose false
#@ # default priority
#@ variable auto_path_groups_priority 1
#@ # default min_regs_per_hierarchy
#@ variable auto_path_groups_min_regs_per_hierarchy 10
#@ # registers path group suffix
#@ variable auto_path_groups_reg_suffix to_regs_
#@ # macro path group suffix
#@ variable auto_path_groups_to_macro_suffix to_macros_
#@ # macro path group suffix
#@ variable auto_path_groups_from_macro_suffix from_macros_
#@ # ICG path group suffix
#@ variable auto_path_groups_icg_suffix to_ICGs
#@ # input path group suffix
#@ variable auto_path_groups_input_suffix inputs
#@ # output path group suffix
#@ variable auto_path_groups_output_suffix outputs
#@ # feedthrough path group suffix
#@ variable auto_path_groups_feedthrough_suffix feedthrough
#@ # user path groups
#@ variable auto_path_groups_user_path_groups_file
auto_path_groups.user_path_groups.tcl
#@ }
#@
#@ proc create_auto_path_groups { args } {
#@ variable ::cae::auto_path_groups_messages
#@ variable ::cae::auto_path_groups_prefix
#@ variable ::cae::auto_path_groups_slack
#@ variable ::cae::auto_path_groups_max
#@ variable ::cae::auto_path_groups_verbose
#@ variable ::cae::auto_path_groups_priority
#@ variable ::cae::auto_path_groups_min_regs_per_hierarchy
#@ variable ::cae::auto_path_groups_reg_suffix
#@ variable ::cae::auto_path_groups_to_macro_suffix
#@ variable ::cae::auto_path_groups_from_macro_suffix
#@ variable ::cae::auto_path_groups_icg_suffix
#@ variable ::cae::auto_path_groups_input_suffix
#@ variable ::cae::auto_path_groups_output_suffix
#@ variable ::cae::auto_path_groups_feedthrough_suffix
#@ variable ::cae::auto_path_groups_user_path_groups_file
#@
#@ set options(-slack) ${auto_path_groups_slack}
#@ set options(-max) ${auto_path_groups_max}
#@ set options(-prefix) ${auto_path_groups_prefix}
#@ set options(-verbose) ${auto_path_groups_verbose}
#@ set options(-priority) ${auto_path_groups_priority}
#@ set options(-min_regs_per_hierarchy) $
{auto_path_groups_min_regs_per_hierarchy}
#@ set options(-exclude) [list]
#@ set options(-user_path_groups_file) $
{auto_path_groups_user_path_groups_file}
#@
#@ parse_proc_arguments -args ${args} options
#@
#@ if { [info exists options(-file)] } {
#@ if { [catch {open $options(-file) w} fileId] } {
#@ return -code error [::cae::utils::msg e ${fileId}]
#@ }
#@ }
#@
#@ # save user path groups
#@ if { ![info exists options(-skip)] } {
#@ echo [::cae::utils::msg i "Saving user path groups to $options(-
user_path_groups_file)..."]
#@ if { [file exist $options(-user_path_groups_file)] } {
#@ echo [::cae::utils::msg w "File $options(-user_path_groups_file)
already exists and will be overwritten..."]
#@ }
#@ if { [catch {open "| grep \"^group_path\" > $options(-
user_path_groups_file)" w} channelId] } {
#@ return -code error [::cae::utils::msg e ${channelId}]
#@ }
#@ redirect -channel ${channelId} { write_script -nosplit }
#@ set r [catch {close ${channelId}} msg]
#@ switch ${r} {
#@ 0 { echo [::cae::utils::msg i "User path groups saved"] }
#@ 1 { echo [::cae::utils::msg i "No user path groups to save"] }
#@ default { return -code error [::cae::utils::msg e ${msg}] }
#@ }
#@ }
#@
#@ set total 0
#@
#@ suppress_message ${auto_path_groups_messages}
#@ switch -- $options(-mode) {
#@ "rtl" {
#@ # create one path group per hierarchy
#@
#@ echo [::cae::utils::msg i "Collecting hierarchies without
optimize_registers..."]
#@ set optimize_registers_cells [get_cells -hier -filter
{is_hierarchical==true && optimize_registers==true}]
#@ if { $options(-verbose) } {
#@ set optimize_registers_cells_names [lsort [get_object_name $
{optimize_registers_cells}]]
#@ echo [::cae::utils::msg i "cells with optimize_registers: $
{optimize_registers_cells_names}"]
#@ }
#@ set optimize_registers_sub_cells {}
#@ foreach_in_collection cell ${optimize_registers_cells} {
#@ redirect -file /dev/null {current_instance ${cell}}
#@ append_to_collection optimize_registers_sub_cells \
#@ [get_cells -hier -filter {is_hierarchical==true &&
(optimize_registers==false || undefined(optimize_registers))}]
#@ }
#@ redirect -file /dev/null {current_instance}
#@ if { $options(-verbose) } {
#@ set optimize_registers_sub_cells_names [lsort [get_object_name $
{optimize_registers_sub_cells}]]
#@ echo [::cae::utils::msg i "sub-cells of cells with
optimize_registers: ${optimize_registers_sub_cells_names}"]
#@ }
#@ set path_group_cells [get_cells -hier -filter {is_hierarchical==true
&& is_sequential==true && (optimize_registers==false ||
undefined(optimize_registers))}]
#@ set path_group_cells [remove_from_collection ${path_group_cells} $
{optimize_registers_sub_cells}]
#@
#@ echo [::cae::utils::msg i "Collected [sizeof_collection $
{path_group_cells}] hierarchies without optimize_registers"]
#@
#@ set path_group_names [lsort [get_object_name ${path_group_cells}]]
#@
#@ if { $options(-verbose) } {
#@ echo [::cae::utils::msg i "cells for which path groups will be
created:"]
#@ foreach path_group_name ${path_group_names} {
#@ echo [::cae::utils::msg i " ${path_group_name}"]
#@ }
#@ }
#@
#@ echo [::cae::utils::msg i "Creating path groups for hierarchies
without optimize_registers..."]
#@ set counter 0
#@ foreach path_group_name ${path_group_names} {
#@ set number_of_registers [sizeof_collection [get_cells $
{path_group_name}/* -filter "is_hierarchical==false && is_sequential==true"]]
#@ if { ${number_of_registers} > $options(-
min_regs_per_hierarchy) } {
#@ echo [::cae::utils::msg i "Number of sequential cells found
in ${path_group_name} hierarchy: ${number_of_registers}"]
#@ set command "group_path -name $options(-prefix)$
{auto_path_groups_reg_suffix}${counter} -to \[get_cells ${path_group_name}/* -
filter \"is_hierarchical==false && is_sequential==true\"\] -priority $options(-
priority)"
#@ echo [::cae::utils::msg i ${command}]
#@ if { [info exists options(-file)] } {
#@ puts ${fileId} ${command}
#@ }
#@ eval ${command}
#@ incr counter
#@ } else {
#@ echo [::cae::utils::msg i "Not enough sequential cells found
in ${path_group_name} hierarchy (${number_of_registers}), skipping..."]
#@ }
#@ }
#@ echo [::cae::utils::msg i "Number of reg path groups created: $
{counter}"]
#@ set total [expr {${total} + ${counter}}]
#@ }
#@ "mapped" {
#@ # create one path group per hierarchy not meeting timing
#@
#@ array unset fail
#@ echo [::cae::utils::msg i "Collecting hierarchies with timing
violations..."]
#@ foreach_in_collection pin [all_registers -data_pins] {
#@ set slack [get_attribute ${pin} max_slack]
#@ if { ${slack} < $options(-slack) && ${slack} != "" } {
#@ set cell [get_cells -of_objects ${pin}]
#@ set full_name [get_attribute ${cell} full_name]
#@ set name [get_attribute ${cell} name]
#@ if {[string length ${full_name}] > [string length ${name}]}
{
#@ set length [expr [string length ${full_name}] - [string
length ${name}] - 1]
#@ set hierarchy [string range ${full_name} 0 [expr $
{length} - 1]]
#@ } else {
#@ set hierarchy ""
#@ }
#@ if { ![info exists fail(${hierarchy})] ||
#@ ([info exists fail(${hierarchy})] && ${slack} < $fail($
{hierarchy})) } {
#@ set fail(${hierarchy}) ${slack}
#@ }
#@ }
#@ }
#@ echo [::cae::utils::msg i "Collected [array size fail] hierarchies
with timing violations"]
#@
#@ if { $options(-max) > 0 } {
#@ echo [::cae::utils::msg i "Keeping only $options(-max)
hierarchies with worst timing violations"]
#@ set hierarchy_slack_list [lsort -stride 2 -index 1 -real -
increasing [array get fail]]
#@ set hierarchy_slack_list [lrange ${hierarchy_slack_list} 0 [expr
{2 * $options(-max) - 1}]]
#@ array unset fail
#@ array set fail ${hierarchy_slack_list}
#@ }
#@
#@ set path_group_names [lsort [array names fail]]
#@
#@ if { $options(-verbose) } {
#@ echo [::cae::utils::msg i "hierarchies for which path groups
will be created:"]
#@ foreach path_group_name ${path_group_names} {
#@ echo [::cae::utils::msg i " ${path_group_name} $fail($
{path_group_name})"]
#@ }
#@ }
#@
#@ echo [::cae::utils::msg i "Creating path groups for hierarchies with
timing violations..."]
#@ set counter 0
#@ foreach path_group_name ${path_group_names} {
#@ if { ${path_group_name} == "" } {
#@ set command "group_path -name $options(-prefix)$
{auto_path_groups_reg_suffix}${counter} -to \[get_cells * -filter
{is_hierarchical==false && is_sequential==true}\] -priority $options(-priority)"
#@ } else {
#@ set command "group_path -name $options(-prefix)$
{auto_path_groups_reg_suffix}${counter} -to \[get_cells ${path_group_name}/* -
filter {is_hierarchical==false && is_sequential==true}\] -priority $options(-
priority)"
#@ }
#@ echo [::cae::utils::msg i ${command}]
#@ if { [info exists options(-file)] } {
#@ puts ${fileId} ${command}
#@ }
#@ eval ${command}
#@ incr counter
#@ }
#@ echo [::cae::utils::msg i "Number of reg path groups created: $
{counter}"]
#@ set total [expr {${total} + ${counter}}]
#@
#@ }
#@
#@ }
#@
#@ # macro path groups
#@ if { [lsearch $options(-exclude) macro] == -1} {
#@ echo [::cae::utils::msg i "Creating macro path groups..."]
#@ if { [shell_is_in_topographical_mode] } {
#@ #set macro_cells [all_macro_cells]
#@ #set macro_cells [remove_from_collection [all_macro_cells]
[get_cells -quiet -hier -all -filter "is_physical_only==true"]]
#@ #set macro_cells [remove_from_collection [all_macro_cells] [get_cells -
quiet -hier -all -filter "is_physical_only==true || is_block_abstraction==true"]]
#@ set tmp_val TRUE
#@ if { [info exists ::all_macro_include_physical_hierarchy] } {
#@ set tmp_val $::all_macro_include_physical_hierarchy
#@ }
#@ if { [info exists ::dcnxt_exclude_physical_hierarchy_macro] &&
#@ ($::dcnxt_exclude_physical_hierarchy_macro eq "FALSE" ||
$::dcnxt_exclude_physical_hierarchy_macro eq "false") } {
#@ set ::all_macro_include_physical_hierarchy TRUE
#@ } else {
#@ set ::all_macro_include_physical_hierarchy FALSE
#@ }
#@ set macro_cells_no_abs [remove_from_collection [all_macro_cells]
[get_cells -quiet -hier -all -filter "is_block_abstraction==true"]]
#@ set macro_cells [remove_from_collection $macro_cells_no_abs [get_cells -
quiet -hier -all -filter "is_physical_only==true"]]
#@ set ::all_macro_include_physical_hierarchy $tmp_val
#@ } else {
#@ set macro_cells [get_cells -hier * -filter "is_macro_cell == true"]
#@ }
#@ if { [sizeof_collection ${macro_cells}] != 0 } {
#@ set path_group_names [get_object_name ${macro_cells}]
#@ set counter 0
#@ foreach path_group_name ${path_group_names} {
#@ set command "group_path -name $options(-prefix)$
{auto_path_groups_to_macro_suffix}${counter} -to \[get_cells ${path_group_name}\] -
priority $options(-priority)"
#@ echo [::cae::utils::msg i ${command}]
#@ if { [info exists options(-file)] } {
#@ puts ${fileId} ${command}
#@ }
#@ eval ${command}
#@ incr counter
#@ set command "group_path -name $options(-prefix)$
{auto_path_groups_from_macro_suffix}${counter} -from \[get_cells $
{path_group_name}\] -priority $options(-priority)"
#@ echo [::cae::utils::msg i ${command}]
#@ if { [info exists options(-file)] } {
#@ puts ${fileId} ${command}
#@ }
#@ eval ${command}
#@ incr counter
#@ }
#@ echo [::cae::utils::msg i "Number of macro path groups created: $
{counter}"]
#@ set total [expr {${total} + ${counter}}]
#@ } else {
#@ echo [::cae::utils::msg i "No macro found, skipping..."]
#@ }
#@ }
#@
#@ # ICG path groups
#@ if { [lsearch $options(-exclude) ICG] == -1} {
#@ echo [::cae::utils::msg i "Creating ICG path groups..."]
#@ set all_icg_cells [get_cells -hier -filter "full_name=~*latch ||
full_name=~*u_clkgate && defined(clock_gating_integrated_cell)"]
#@ if { [sizeof_collection ${all_icg_cells}] } {
#@ set counter 0
#@ set command "group_path -name $options(-prefix)$
{auto_path_groups_icg_suffix} -to \[get_cells -hier -filter \"full_name=~*latch ||
full_name=~*u_clkgate && defined(clock_gating_integrated_cell)\"\] -priority
$options(-priority)"
#@ echo [::cae::utils::msg i ${command}]
#@ if { [info exists options(-file)] } {
#@ puts ${fileId} ${command}
#@ }
#@ eval ${command}
#@ incr counter
#@ echo [::cae::utils::msg i "Number of ICG path groups created: $
{counter}"]
#@ set total [expr {${total} + ${counter}}]
#@ } else {
#@ echo [::cae::utils::msg i "No ICG found, skipping..."]
#@ }
#@ }
#@
#@ # IO path groups
#@ if { [lsearch $options(-exclude) IO] == -1} {
#@ echo [::cae::utils::msg i "Creating IO path groups..."]
#@ set counter 0
#@ set command "group_path -name $options(-prefix)$
{auto_path_groups_input_suffix} -from \[ remove_from_collection \[all_inputs\] \
[get_ports \[get_attribute \[get_clocks -filter defined(sources)\] sources\]\] \]"
#@ echo [::cae::utils::msg i ${command}]
#@ if { [info exists options(-file)] } {
#@ puts ${fileId} ${command}
#@ }
#@ eval ${command}
#@ incr counter
#@ set command "group_path -name $options(-prefix)$
{auto_path_groups_output_suffix} -to \[all_outputs\]"
#@ echo [::cae::utils::msg i ${command}]
#@ if { [info exists options(-file)] } {
#@ puts ${fileId} ${command}
#@ }
#@ eval ${command}
#@ incr counter
#@ set command "group_path -name $options(-prefix)$
{auto_path_groups_feedthrough_suffix} -from \[ remove_from_collection \
[all_inputs\] \[get_ports \[get_attribute \[get_clocks -filter defined(sources)\]
sources\]\] \] -to \[all_outputs\]"
#@ echo [::cae::utils::msg i ${command}]
#@ if { [info exists options(-file)] } {
#@ puts ${fileId} ${command}
#@ }
#@ eval ${command}
#@ incr counter
#@ echo [::cae::utils::msg i "Number of IO path groups created: $
{counter}"]
#@ set total [expr {${total} + ${counter}}]
#@ }
#@
#@ unsuppress_message ${auto_path_groups_messages}
#@
#@ echo [::cae::utils::msg i "Total number of path groups created: ${total}"]
#@
#@ if { [info exists options(-file)] } {
#@ close ${fileId}
#@ }
#@
#@ return
#@ }
#@
#@ define_proc_attributes create_auto_path_groups \
#@ -command_group syn -dont_abbrev -hide_body \
#@ -info "Creates path groups for current design" \
#@ -define_args {
#@ { -mode "creates path groups for unmapped/mapped netlist" mode
one_of_string {required value_help {values {rtl mapped}}} }
#@ { -exclude "excludes specific path groups (IO ICG macro) (default: empty
list)" list list {optional} }
#@ { -slack "slack value used to select hierarchy violating timing
(default: 0.0) - mapped mode only" slack float optional }
#@ { -max "maximum number of paths groups (default: 0=unlimited) - mapped
mode only" max int optional }
#@ { -min_regs_per_hierarchy "minimum number of registers per hierarchy
(default: 10) - rtl mode only" min_regs int optional }
#@ { -prefix "path group name prefix (default: synopsys_pg_)" prefix string
optional }
#@ { -file "file name to dump group_path commands" file_name string
optional }
#@ { -verbose "verbose mode" "" boolean optional }
#@ { -user_path_groups_file "save user path groups to this file" file_name
string optional }
#@ { -skip "do not save user path groups" "" boolean optional }
#@ }
#@
#@ proc remove_auto_path_groups { args } {
#@ variable ::cae::auto_path_groups_messages
#@ variable ::cae::auto_path_groups_prefix
#@ variable ::cae::auto_path_groups_verbose
#@ variable ::cae::auto_path_groups_user_path_groups_file
#@
#@ set options(-prefix) ${auto_path_groups_prefix}
#@ set options(-verbose) ${auto_path_groups_verbose}
#@ set options(-user_path_groups_file) $
{auto_path_groups_user_path_groups_file}
#@
#@ parse_proc_arguments -args ${args} options
#@
#@ if { [info exists options(-file)] } {
#@ if {[catch {open $options(-file) w } fileId] } {
#@ return -code error [::cae::utils::msg e ${fileId}]
#@ }
#@ }
#@
#@ suppress_message ${auto_path_groups_messages}
#@ set path_group_names [get_object_name [get_path_group -filter "full_name =~
$options(-prefix)*"]]
#@ foreach path_group_name ${path_group_names} {
#@ set command "remove_path_group ${path_group_name}"
#@ echo [::cae::utils::msg i ${command}]
#@ if { [info exists options(-file)] } {
#@ puts ${fileId} ${command}
#@ }
#@ eval ${command}
#@ }
#@ echo [::cae::utils::msg i "Number of path groups removed: [llength $
{path_group_names}]"]
#@ unsuppress_message ${auto_path_groups_messages}
#@
#@ if { [info exists options(-file)] } {
#@ close ${fileId}
#@ }
#@
#@ # restore user path groups
#@ if { ![info exists options(-skip)] } {
#@ echo [::cae::utils::msg i "Restoring user path groups from $options(-
user_path_groups_file)..."]
#@ if { [file exist $options(-user_path_groups_file)] } {
#@ if { [file size $options(-user_path_groups_file)] != 0} {
#@ source -continue_on_error $options(-user_path_groups_file)
#@ } else {
#@ echo [::cae::utils::msg i "No user path groups to restore"]
#@ }
#@ file delete -force $options(-user_path_groups_file)
#@ } else {
#@ echo [::cae::utils::msg w "File $options(-user_path_groups_file)
doesn't exist"]
#@ }
#@ }
#@
#@ return
#@ }
#@
#@ define_proc_attributes remove_auto_path_groups \
#@ -command_group syn -dont_abbrev -hide_body \
#@ -info "Removes path groups for current design" \
#@ -define_args {
#@ { -prefix "path group name prefix (default: synopsys_pg)" prefix string
optional }
#@ { -file "file name to dump group_path commands" file_name string
optional }
#@ { -verbose "verbose mode" "" boolean optional }
#@ { -user_path_groups_file "restore user path groups from this file"
file_name string optional }
#@ { -skip "do not restore user path groups" "" boolean optional }
#@ }
#@
#@ # -- End source /cad/synopsys/synthesis/cur/auxx/syn/lib/auto_path_groups.tcl

#@ # -- End source /cad/synopsys/synthesis/cur/auxx/syn/lib/syn.tcl

#@
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
#@ set sh_enable_line_editing "true"
#@ set sh_line_editing_mode "emacs"
#@ }
#@
#@ if {$synopsys_program_name == "icc_shell"} {
#@ if {"$sh_output_log_file" == ""} {
#@ set sh_output_log_file "icc_output.txt"
#@ }
#@
#@ ## the variable sh_redirect_progress_messages only makes it possible
#@ ## for some commands to redirect progress messages to the log file,thereby
#@ ## bypassing the console and reducing the volume of messages on the console.
#@ set sh_redirect_progress_messages true
#@ }
#@
#@
#@ # Suppress new variable messages for the following variables
#@ array set auto_index {}
#@ set auto_oldpath ""
#@
#@ # Enable customer support banner on fatal
#@ if { $sh_arch == "linux" || $sh_arch == "amd64" || $sh_arch == "linux64"
||\
#@ $sh_arch == "suse32" || $sh_arch == "suse64" || \
#@ $sh_arch == "sparcOS5" || $sh_arch == "sparc64" || \
#@ $sh_arch == "x86sol32" || $sh_arch == "x86sol64" || \
#@ $sh_arch == "rs6000" || $sh_arch == "aix64" } {
#@ setenv SYNOPSYS_TRACE ""
#@ }
#@
#@ #
#@ # Load the procedures which make up part of the user interface.
#@ #
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
#@ source $synopsys_root/auxx/syn/.dc_common_procs.tcl
#@ if {$synopsys_program_name != "dc_sms_shell"} {
#@ source $synopsys_root/auxx/syn/.dc_procs.tcl
#@ }
#@ }
#@ # -- Starting source /cad/synopsys/synthesis/cur/auxx/syn/.dc_common_procs.tcl

#@ ##############################################################################
#@ #
#@ #
#@ # FILE: auxx/syn/.dc_common_procs.tcl
#@ #
#@ # ABSTRACT: These procedures are part of the PrimeTime and DC
#@ # user interface.
#@ # They are loaded by .synopsys_pt.setup and .synopsys_dc.setup.
#@ #
#@ ##############################################################################
#@ #
#@ #
#@
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: group_variable
#@ #
#@ # ABSTRACT: Add a variable to the specified variable group.
#@ # This command is typically used by the system
#@ # administrator only.
#@ #
#@ # Below the proc is the command which creates the command
#@ # help information and semantic data for the argument.
#@ #
#@ # RETURNS: 1 if it is successful.
#@ # error code if the variable does not exist.
#@ # error code of the variable is already in the group.
#@ #
#@ # SYNTAX: group_variable group_name variable_name
#@ #
#@ ##############################################################################
#@ #
#@
#@
#@ proc group_variable { args } {
#@ global _Variable_Groups
#@
#@ parse_proc_arguments -args $args resarr
#@ set group $resarr(group)
#@ set var $resarr(variable_name)
#@
#@ if { ![info exists _Variable_Groups($group)] } {
#@ set _Variable_Groups($group) ""
#@ }
#@
#@ # Verify that var exists as a global variable
#@
#@ set cmd "uplevel #0 \{info exists $var\}"
#@ if { ![eval $cmd] } {
#@ return -code error "Variable '$var' is not defined."
#@ }
#@
#@ # Only add it if it's not already there
#@
#@ if { [lsearch $_Variable_Groups($group) $var] == -1 } {
#@ lappend _Variable_Groups($group) $var
#@ }
#@
#@ return 1
#@ }
#@
#@ define_proc_attributes group_variable \
#@ -info "Add a variable to a variable group" \
#@ -command_group "Builtins" -permanent -dont_abbrev \
#@ -define_args {
#@ {group "Variable group name" group}
#@ {variable_name "Variable name" variable_name}}
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: print_variable_group
#@ #
#@ # ABSTRACT: Shows variables and their values defined in the given group.
#@
#@ #
#@ # Below the proc is the command which creates the command
#@ # help information and semantic data for the argument.
#@ #
#@ # RETURNS: 1 if it is successful.
#@ # error code of the variable group does not exist.
#@ #
#@ # SYNTAX: print_variable_group group_name
#@ #
#@ ##############################################################################
#@ #
#@
#@ proc print_variable_group { args } {
#@ global _Variable_Groups
#@
#@ parse_proc_arguments -args $args resarr
#@ set group $resarr(group)
#@
#@ if { [string compare $group "all"] == 0 } {
#@ set cmd "uplevel #0 \{printvar\}"
#@ return [eval $cmd]
#@ }
#@
#@ if { ![info exists _Variable_Groups($group)] } {
#@ return -code error "Variable group '$group' does not exist."
#@ }
#@
#@ # Print out each global variable in the list. To be totally bulletproof,
#@ # test that each variable in the group is still defined. If not, remove
#@ # it from the list.
#@
#@ foreach var [lsort $_Variable_Groups($group)] {
#@ set cmd "uplevel #0 \{info exists $var\}"
#@ if { ![eval $cmd] } {
#@ # Remove it
#@ set n [lsearch $_Variable_Groups($group) $var]
#@ set $_Variable_Groups($group) [lreplace $_Variable_Groups($group) $n $n]
#@ } else {
#@ # Print it.
#@ set cmd "uplevel #0 \{set $var\}"
#@ set val [eval $cmd]
#@ echo [format "%-25s = \"%s\"" $var $val]
#@ }
#@ }
#@
#@ return 1
#@ }
#@
#@ define_proc_attributes print_variable_group \
#@ -info "Print the contents of a variable group" \
#@ -command_group "Builtins" -permanent \
#@ -define_args {{group "Variable group name" group}}
#@
#@
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: _Variable_Groups_Get_Groups
#@ #
#@ # ABSTRACT: Return a list of all variable groups. This command is hidden
#@ # and is used by Design Vision.
#@ #
#@ # RETURNS: Tcl list of all variable groups including group all
#@ #
#@ # SYNTAX: _Variable_Groups_Get_Groups
#@ ##############################################################################
#@ #
#@
#@ proc _Variable_Groups_Get_Groups { } {
#@ global _Variable_Groups
#@
#@ set groups [array names _Variable_Groups]
#@ append groups " all"
#@ return $groups
#@ }
#@ define_proc_attributes _Variable_Groups_Get_Groups -hidden
#@
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: _Variable_Groups_Get_Variables_Of_Group
#@ #
#@ # ABSTRACT: Return a list of all variables of a variable group.
#@ # It also works for pseudo group all.
#@ #
#@ # RETURNS: Tcl list of all variables of a variable group including
#@ # pseudo group all
#@ #
#@ # SYNTAX: _Variable_Groups_Get_Groups
#@ ##############################################################################
#@ #
#@
#@ proc _Variable_Groups_Get_Variables_Of_Group { group } {
#@ global _Variable_Groups
#@
#@ if { [string compare $group "all"] == 0 } {
#@ set itr [array startsearch _Variable_Groups]
#@ for { } { [array anymore _Variable_Groups $itr]} { } {
#@ set index [array nextelement _Variable_Groups $itr]
#@ append vars $_Variable_Groups($index)
#@ }
#@ array donesearch _Variable_Groups $itr
#@ return $vars
#@ }
#@
#@ if { ![info exists _Variable_Groups($group)] } {
#@ return -code error "Variable group '$group' does not exist."
#@ }
#@
#@ # Test if all variables in the list of variables are still defined.
#@ # Remove not existing variables.
#@ foreach var [lsort $_Variable_Groups($group)] {
#@ set cmd "uplevel #0 \{info exists $var\}"
#@ if { ![eval $cmd] } {
#@ # Remove it
#@ set n [lsearch $_Variable_Groups($group) $var]
#@ set $_Variable_Groups($group) [lreplace $_Variable_Groups($group) $n $n]
#@ }
#@ }
#@ return $_Variable_Groups($group)
#@ }
#@ define_proc_attributes _Variable_Groups_Get_Variables_Of_Group -hidden
#@
#@ # -- End source /cad/synopsys/synthesis/cur/auxx/syn/.dc_common_procs.tcl

#@ # -- Starting source /cad/synopsys/synthesis/cur/auxx/syn/.dc_procs.tcl

#@ ##############################################################################
#@ #
#@ #
#@ # FILE: auxx/syn/.dc_procs.tcl
#@ #
#@ # ABSTRACT: These procedures are part of the Design Compiler Tcl
#@ # user interface.
#@ # They are loaded by .synopsys_dc.setup.
#@ #
#@ ##############################################################################
#@ #
#@ #
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: read_verilog
#@ #
#@ # ABSTRACT: Emulate PT's read_verilog command in DC:
#@ #
#@ # Usage: read_verilog # Read one or more verilog files
#@ # *[-hdl_compiler] (Use HDL Compiler (ignored))
#@ # file_names (Files to read)
#@ #
#@ # Modified: Bharat 11/17/99. Use uplevel to ensure that the command
#@ # sees user/hidden variables from the top level. Star 92970.
#@ #
#@ # Modified: Evan Rosser, 12/5/01. Support -netlist and -rtl flags.
#@ #
#@ ##############################################################################
#@ #
#@
#@ if { $synopsys_program_name != "icc_shell" } {
#@ proc read_verilog { args } {
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {read_file -format verilog %s %s [list %s]} \
#@ [array names ra -rtl] \
#@ [array names ra -netlist] \
#@ $ra(file_names)]
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes read_verilog \
#@ -info " Read one or more verilog files" \
#@ -permanent \
#@ -define_args {
#@ {file_names "Files to read" file_names list required}
#@ {-netlist "Use structural Verilog netlist reader" "" boolean optional}
#@ {-rtl "Use RTL Verilog compiler (Presto or HDLC)" "" boolean optional}
#@ {-hdl_compiler "Use HDL Compiler (ignored)" "" boolean {hidden optional}}
#@ }
#@ }
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: read_sverilog
#@ #
#@ # ABSTRACT: Emulate PT's read_sverilog command in DC:
#@ #
#@ # Usage: read_sverilog # Read one or more systemverilog files
#@ # *[-hdl_compiler] (Use HDL Compiler (ignored))
#@ # file_names (Files to read)
#@ #
#@ # Modified: Yong Xiao, 01/31/2003: Copied from read_verilog to support
#@ # systemverilog input.
#@ #
#@ ##############################################################################
#@ #
#@
#@ proc read_sverilog { args } {
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {read_file -format sverilog %s %s [list %s]} \
#@ [array names ra -rtl] \
#@ [array names ra -netlist] \
#@ $ra(file_names)]
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes read_sverilog \
#@ -info " Read one or more systemverilog files" \
#@ -permanent \
#@ -define_args {
#@ {file_names "Files to read" file_names list required}
#@ {-netlist "Use structural Verilog netlist reader" "" boolean optional}
#@ {-rtl "Use RTL Systemverilog compiler (Presto or HDLC)" "" boolean optional}
#@ {-hdl_compiler "Use HDL Compiler (ignored)" "" boolean {hidden optional}}
#@ }
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: read_vhdl
#@ #
#@ # ABSTRACT: Emulate PT's read_vhdl command in DC:
#@ #
#@ # Usage: read_vhdl # Read one or more vhdl files
#@ # file_names (Files to read)
#@ #
#@ #
#@ ##############################################################################
#@ #
#@
#@ proc read_vhdl { args } {
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {read_file -format vhdl %s [list %s]} \
#@ [array names ra -netlist] \
#@ $ra(file_names)]
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes read_vhdl \
#@ -info " Read one or more vhdl files" \
#@ -permanent \
#@ -define_args {
#@ {file_names "Files to read" file_names list required}
#@ {-netlist "Use structural VHDL netlist reader" "" boolean optional}
#@ }
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: read_db
#@ #
#@ # ABSTRACT: Emulate PT's read_db command in DC:
#@ #
#@ # Usage:
#@ # read_db # Read one or more db files
#@ # *[-netlist_only] (Do not read any attributes from db (ignored))
#@ # *[-library] (File is a library DB (ignored))
#@ # file_names (Files to read)
#@ #
#@ #
#@ ##############################################################################
#@ #
#@
#@ proc read_db { args } {
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {read_file -format db [list %s]} $ra(file_names)]
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes read_db \
#@ -info " Read one or more db files" \
#@ -permanent \
#@ -define_args {
#@ {file_names "Files to read" file_names list required}
#@ {-netlist_only "Do not read any attributes from db (ignored)" "" boolean
{hidden optional}}
#@ {-library "File is a library DB (ignored)" "" boolean {hidden optional}}
#@ }
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: read_edif
#@ #
#@ # ABSTRACT: Emulate PT's read_edif command in DC:
#@ #
#@ # Usage:
#@ # read_edif # Read one or more edif files
#@ # *[-complete_language] (Use ptxr to read the file (ignored))
#@ # file_names (Files to read)
#@ #
#@ #
#@ ##############################################################################
#@ #
#@ proc read_edif { args } {
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {read_file -format edif [list %s]} $ra(file_names)]
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes read_edif \
#@ -info " Read one or more edif files" \
#@ -permanent \
#@ -define_args {
#@ {file_names "Files to read" file_names list required}
#@ {-complete_language "Use ptxr to read the file (ignored)" "" boolean
{hidden optional}}
#@ }
#@
#@
#@ ##############################################################################
#@ #
#@ #
#@ # PROCEDURE: read_ddc
#@ #
#@ # ABSTRACT: Shorthand for "read_file -format ddc":
#@ #
#@ # Usage:
#@ # read_ddc # Read one or more ddc files
#@ # *[-scenarios] only read constraints for specified scenarios
#@ # *[-active_scenarios] only activate the specified scenarios
#@ # file_names (Files to read)
#@ #
#@ #
#@ ##############################################################################
#@ #
#@
#@ proc read_ddc { args } {
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd "read_file -format ddc"
#@ if { [ info exists ra(-scenarios) ] } {
#@ set cmd "$cmd -scenarios { $ra(-scenarios) }"
#@ }
#@ if { [ info exists ra(-active_scenarios) ] } {
#@ set cmd "$cmd -active_scenarios { $ra(-active_scenarios) }"
#@ }
#@ set cmd "$cmd { $ra(file_names) }"
#@ return [uplevel \#0 $cmd]
#@ }
#@
#@ define_proc_attributes read_ddc \
#@ -info "Read one or more ddc files" \
#@ -permanent \
#@ -define_args {
#@ {file_names "Files to read" file_names list required}
#@ {-scenarios "list of scenarios to be read from ddc file"
#@ scenario_list list optional}
#@ {-active_scenarios "list of scenarios to be made active"
#@ active_scenario_list list optional}}
#@
#@
#@
#@ ##############################################################################
#@ #
#@ # PROCEDURE: source_tcl_file
#@ #
#@ # ABSTRACT: generic procedure to source another tcl file
#@ #
#@ # Arguments:
#@ # filename tcl filename
#@ # dir directory to check for file
#@ # msg verbose message
#@ # verbose verbose mode
#@ #
#@ # Usage:
#@ #
#@ ##############################################################################
#@ #
#@ proc source_tcl_file { filename dir msg {verbose 1} } {
#@ set __qual_pref_file [file join $dir $filename]
#@ if {[file exists $__qual_pref_file]} {
#@ if { $verbose } {
#@ echo $msg $__qual_pref_file
#@ }
#@ # use catch to recover from errors in the pref file
#@ echo_trace "Sourcing " $__qual_pref_file
#@ # to speed up sourcing use read and eval
#@ set f [open $__qual_pref_file]
#@ if {[catch {namespace eval :: [read -nonewline $f]} __msg]} {
#@ echo Error: Error during sourcing of $__qual_pref_file
#@ if {$__msg != ""} { echo $__msg }
#@ # actually, it looks like $__msg is always null after
#@ # source fails
#@ }
#@ close $f
#@ } else {
#@ echo_trace "Info: File '" $__qual_pref_file "' does not exist!"
#@ }
#@ }
#@ define_proc_attributes source_tcl_file -hidden
#@
#@
#@
#@ ##############################################################################
#@ #
#@ # PROCEDURE: echo_trace
#@ #
#@ # ABSTRACT: echo only in trace modus
#@ #
#@ ##############################################################################
#@ #
#@ proc echo_trace { args } {
#@ if { [info exists ::env(TCL_TRACE)] } {
#@ echo TRACE\> [join $args "" ]
#@ }
#@ }
#@ define_proc_attributes echo_trace -hidden
#@
#@ #############################################################################
#@ #
#@ # Following procedures added for PC write_script
#@ #
#@ #
#@ #
#@ ############################################################################
#@
#@ proc set_cell_restriction { args } {
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {set_attribute %s -type integer restrictions %s } \
#@ $ra(cell) \
#@ $ra(value)]
#@ return [uplevel #0 $cmd]
#@
#@ }
#@ define_proc_attributes set_cell_restriction -hidden \
#@ -define_args { \
#@ {cell "cell_name" cell string required} \
#@ {value "value" value string required} \
#@ }
#@
#@
#@ proc set_cell_soft_keepout {args} {
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {set_keepout_margin -type soft -outer {%d %d %d %d} [list
%s] } \
#@ $ra(llx) \
#@ $ra(lly) \
#@ $ra(urx) \
#@ $ra(ury) \
#@ $ra(objects)]
#@ return [uplevel #0 $cmd]
#@
#@
#@ }
#@
#@ define_proc_attributes set_cell_soft_keepout -hidden \
#@ -define_args { \
#@ {llx "llx" llx float required} \
#@ {lly "lly" lly float required} \
#@ {urx "urx" urx float required} \
#@ {ury "ury" ury float required} \
#@ {objects "objects" objects list required} \
#@ }
#@
#@ proc set_cell_hard_keepout {args} {
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {set_keepout_margin -type soft -outer {%d %d %d %d} [list
%s] } \
#@ $ra(llx) \
#@ $ra(lly) \
#@ $ra(urx) \
#@ $ra(ury) \
#@ $ra(objects)]
#@ return [uplevel #0 $cmd]
#@
#@
#@ }
#@
#@ define_proc_attributes set_cell_hard_keepout -hidden \
#@ -define_args { \
#@ {llx "llx" llx float required} \
#@ {lly "lly" lly float required} \
#@ {urx "urx" urx float required} \
#@ {ury "ury" ury float required} \
#@ {objects "objects" objects list required} \
#@ }
#@
#@ set mw_use_pdb_lib_format false
#@
#@ ##############################################################################
#@ #
#@ # PROCEDURE: write_milkyway
#@ #
#@ # ABSTRACT: wrapper around save_mw_cel to support original write_milkyway
#@ # interface
#@ # if { [info commands open_mw_cel] == "open_mw_cel" } {}
#@ #
#@ ##############################################################################
#@
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@
#@ proc write_milkyway args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {save_mw_cel -as %s %s %s %s %s} \
#@ $ra(-output)\
#@ [array names ra -overwrite] \
#@ [array names ra -create] \
#@ [array names ra -all] \
#@ [array names ra -dps]]
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes write_milkyway -hidden \
#@ -info " Saves the design as milkyway CEL" \
#@ -define_args \
#@ {{-output fileName "Name" string {optional}} \
#@ {-overwrite "Overwrite the current version" "" boolean {optional}} \
#@ {-create "Create from scratch" "" boolean {hidden optional}} \
#@ {-all "Save all modified cells" "" boolean {hidden optional}} \
#@ {-dps "Save internal DPS design" "" boolean {hidden optional}}}
#@
#@ ##############################################################################
#@ #
#@ # PROCEDURE: read_milkyway
#@ #
#@ # ABSTRACT: wrapper around open_mw_cel to support original read_milkyway
#@ # interface
#@ # MODIFIED: To support DPS in Galileo we need to pass the filtering
#@ # parameters to the DPS command. (Pankaj Goswami, Mar09 2005)
#@ #
#@ ##############################################################################
#@
#@ proc read_milkyway args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {open_mw_cel %s} \
#@ $ra() ]
#@
#@ if {[info exists ra(-library)]} {
#@ set cmd [concat [concat $cmd " -library " ] " $ra(-library) "]
#@ }
#@
#@ if {[info exists ra(-read_only)]} {
#@ lappend cmd {-readonly}
#@ }
#@
#@ # DPS specific stuff
#@ set dps_cmd "vh_set_current_partition "
#@ set read_mw_with_dps_filter false
#@
#@ if {[info exists ra(-vh_module_only)]} {
#@ append dps_cmd "-vh_module_only "
#@ set read_mw_with_dps_filter true
#@ }
#@
#@ if {[info exists ra(-vh_include)]} {
#@ append dps_cmd [concat " -vh_include " " \{ $ra(-vh_include) \}"]
#@ append dps_cmd " "
#@ set read_mw_with_dps_filter true
#@ }
#@
#@ if {[info exists ra(-vh_exclude)]} {
#@ append dps_cmd [concat " -vh_exclude" " \{ $ra(-vh_exclude) \}"]
#@ set read_mw_with_dps_filter true
#@ }
#@
#@ if { $read_mw_with_dps_filter == true } {
#@ # Call the DPS command to store the DPS filtering params.
#@ uplevel #0 $dps_cmd
#@ } else {
#@ # If there is no DPS filtering params, then we need to reset the
#@ # params which might have been stored from the provious command.
#@ append dps_cmd " -vh_reset_partition"
#@ uplevel #0 $dps_cmd
#@ }
#@ # End of DPS stuff
#@
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes read_milkyway -hidden \
#@ -info " Read milkyway CEL from disk" \
#@ -define_args \
#@ {{-library "library name" "lib_name" string {optional}} \
#@ {-read_only "open design in read only mode" "" boolean {optional}} \
#@ {-version "version number of the CEL" "number" string {optional}} \
#@ {-vh_module_only "open design for DPS module only partition" "" boolean
{hidden optional}} \
#@ {-vh_include "list of designs to be included in the DPS partition"
"include_designs" list {hidden optional}} \
#@ {-vh_exclude "list of designs to be excluded in the DPS partition"
"exclude_designs" list {hidden optional}} \
#@ {"" fileName "CEL name" string {required}}}
#@
#@ }
#@
#@ ##############################################################################
#@ #
#@ # PROCEDURE: set_mw_technology_file
#@ #
#@ # ABSTRACT: wrapper around update_mw_lib
#@ #
#@ # HISTORY : 2009/6/21, yunz, support ALF reader in ICC
#@ #
#@ ##############################################################################
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] ||
#@ ([string match -nocase {*d[ce]_shell*} $synopsys_program_name] &&
[shell_is_mwlib_enabled]) } {
#@
#@ proc set_mw_technology_file args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd ""
#@ set lib_name ""
#@ set pdb_file "tech.pdb"
#@ set log_file "log_file"
#@ set alf_file ""
#@
#@ if {[info exists ra(-technology)] && [info exists ra(-plib)]} {
#@ echo "Error: the $ra(-technology) and $ra(-plib) options are mutually
exclusive."
#@ return [uplevel #0 $cmd]
#@ } elseif {[info exists ra(-technology)] && [info exists ra(-alf)]} {
#@ echo "Error: the $ra(-technology) and $ra(-alf) options are mutually
exclusive."
#@ return [uplevel #0 $cmd]
#@ } elseif {[info exists ra(-plib)] && [info exists ra(-alf)]} {
#@ echo "Error: the $ra(-plib) and $ra(-alf) options are mutually exclusive."
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ if {[info exists ra(-technology)]} {
#@
#@ set cmd [format {update_mw_lib -technology %s %s} \
#@ $ra(-technology) \
#@ $ra() ]
#@ }
#@
#@ if {[info exists ra(-alf)]} {
#@
#@ set cmd [format {update_mw_lib %s} \
#@ $ra() ]
#@
#@ set cmd [concat [concat $cmd " -alf " ] " $ra(-alf) "]
#@ }
#@
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes set_mw_technology_file -hide_body \
#@ -info " Set technology file for the library " \
#@ -define_args \
#@ {{-technology "Technology file name" "tech_file" string {optional}} \
#@ {-alf "alf file name" "file_name" string {optional}}\
#@ {"" "Library name" "libName" string {required}}}
#@
#@ ##############################################################################
#@ #
#@ # PROCEDURE: rebuild_mw_lib
#@ #
#@ # ABSTRACT: wrapper around update_mw_lib
#@ #
#@ ##############################################################################
#@
#@ proc rebuild_mw_lib args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {update_mw_lib -rebuild %s} \
#@ $ra() ]
#@
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes rebuild_mw_lib -hide_body \
#@ -info " Rebuild the library " \
#@ -define_args \
#@ {{"" "Library name" "libName" string {required}}}
#@
#@ ##############################################################################
#@ #
#@ # PROCEDURE: set_mw_lib_reference
#@ #
#@ # ABSTRACT: Procedure to set ref lib list or ref ctrl file
#@ #
#@ ##############################################################################
#@
#@ proc set_mw_lib_reference args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd ""
#@
#@ if {[info exists ra(-mw_reference_library)]} {
#@ set cmd [format {set_reference_control_file -reference_libraries {%s} %s} \
#@ $ra(-mw_reference_library) \
#@ $ra() ]
#@ }
#@
#@ if {[info exists ra(-reference_control_file)]} {
#@ set cmd [format {set_reference_control_file -file %s %s} \
#@ $ra(-reference_control_file) \
#@ $ra() ]
#@ }
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes set_mw_lib_reference -hide_body \
#@ -info " Set reference for the library " \
#@ -define_args \
#@ {{-mw_reference_library "List of reference libraries" "lib_list" list
{optional}} \
#@ {-reference_control_file "Reference control file" "file_name" string
{optional}} \
#@ {"" "Library name" "libName" string {required}}}
#@
#@ #
#@ ##############################################################################
#@ #
#@ # PROCEDURE: create_mw_lib
#@ #
#@ # ABSTRACT: wrapper around MWUI create_mw_lib
#@ #
#@ ##############################################################################
#@
#@ proc create_mw_lib args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd ""
#@ set lib_name ""
#@ set pdb_file "tech.pdb"
#@ set log_file "log_file"
#@
#@ if {[info exists ra(-ignore_case)]} {
#@ set cmd [format {org_create_mw_lib %s} \
#@ $ra() ]
#@ } else {
#@ set cmd [format {org_create_mw_lib -case_sensitive %s} \
#@ $ra() ]
#@ }
#@
#@ if {[info exists ra(-technology)]} {
#@ set cmd [concat [concat $cmd " -technology " ] " $ra(-technology) "]
#@ }
#@
#@ if {[info exists ra(-ignore_tf_error)]} {
#@ set cmd [concat $cmd " -ignore_tf_error " ]
#@ }
#@
#@ if {[info exists ra(-hier_separator)]} {
#@ set cmd [concat [concat $cmd " -hier_seperator " ] " $ra(-hier_separator) "]
#@ }
#@
#@ if {[info exists ra(-bus_naming_style)]} {
#@ set cmd [concat [concat $cmd " -bus_naming_style " ] " {$ra(-
bus_naming_style)} "]
#@ }
#@
#@
#@ if {[info exists ra(-reference_control_file)]} {
#@ set cmd [concat [concat $cmd " -reference_control_file " ] " $ra(-
reference_control_file) "]
#@ }
#@
#@ if {[info exists ra(-mw_reference_library)]} {
#@ set cmd [concat [concat [concat $cmd " -mw_reference_library {" ] " $ra(-
mw_reference_library) "] "}"]
#@ }
#@
#@ if { ![uplevel #0 $cmd] } {
#@ return 0
#@ }
#@
#@ set cmd ""
#@
#@ if {[info exists ra(-open)]} {
#@ uplevel #0 $cmd
#@ set cmd [format {open_mw_lib %s} \
#@ $ra() ]
#@ }
#@
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes create_mw_lib -hide_body \
#@ -info " Create a milkyway library " \
#@ -define_args \
#@ {{-technology "Technology file name" "file_name" string {optional}} \
#@ {-ignore_tf_error "Ignore the error in technology file" "" boolean
{hidden optional}} \
#@ {-hier_separator "Hierarchical separator, default is backslash / "
"separator" string {hidden optional}} \
#@ {-bus_naming_style "Bus naming style" "bus_naming_style" string
{optional}} \
#@ {-ignore_case "Make case insensitive" "" boolean {hidden optional}} \
#@ {-case_sensitive "Make case sensitive" "" boolean {hidden optional}} \
#@ {-mw_reference_library "List of reference libraries" "lib_list" list
{optional}} \
#@ {-reference_control_file "Reference control file" "file_name" string
{optional}} \
#@ {-open "Open the library after creation" "" boolean {optional}} \
#@ {"" "Library name to create" "libName" string {required}}}
#@
#@ #
#@ ##############################################################################
#@ #
#@ # PROCEDURE: report_mw_lib
#@ #
#@ # ABSTRACT: wrapper around MWUI report_mw_lib
#@ #
#@ ##############################################################################
#@
#@ proc report_mw_lib args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd ""
#@
#@ if {[info exists ra(-mw_reference_library)]} {
#@ if {[info exists ra()]} {
#@ set cmd [format {org_report_mw_lib -mw_reference_library %s} $ra() ]
#@ } else {
#@ set cmd [format {org_report_mw_lib -mw_reference_library} ]
#@ }
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ if {[info exists ra(-unit_range)]} {
#@ if {[info exists ra()]} {
#@ set cmd [format {org_report_mw_lib -unit_range %s} \
#@ $ra() ]
#@ } else {
#@ echo "Error : Library name must be specified when using this option"
#@ return 0;
#@ }
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ }
#@
#@ define_proc_attributes report_mw_lib -hide_body \
#@ -info " Report information about the library " \
#@ -define_args \
#@ {{-unit_range "Report unit range of library" "" boolean {optional}} \
#@ {-mw_reference_library "Report list of reference libraries" "" boolean
{optional}} \
#@ {"" "Library to be reported" "libName" string {optional}}}
#@
#@ ##############################################################################
#@ #
#@ # PROCEDURE: close_mw_lib
#@ #
#@ # ABSTRACT: Wrapper around close_mw_lib to handle -save option properly
#@ # - save_mw_cel to save current cel with dc_netlist
#@ # - close_mw_cel to close current cel
#@ # - save_open_cels to save other open cels before closing library
#@ #
#@ ##############################################################################
#@
#@ proc close_mw_lib args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ if {$args == ""} {
#@ set cmd [format {icc_is_dc_up} ]
#@ if {[uplevel #0 $cmd]} {
#@ set cmd [format {remove_design -quiet -designs} ]
#@ if {[uplevel #0 $cmd]} {
#@ set cmd [format {org_close_mw_lib } ]
#@ return [uplevel #0 $cmd]
#@ } else {
#@ return 0
#@ }
#@ } else {
#@ set cmd [format {org_close_mw_lib } ]
#@ return [uplevel #0 $cmd]
#@ }
#@ }
#@
#@ if {[info exists ra(-save)]} {
#@
#@ set cmd [format {save_mw_cel} ]
#@ if {![uplevel #0 $cmd]} {
#@ return
#@ }
#@
#@ set cmd [format {close_mw_cel} ]
#@ if {![uplevel #0 $cmd]} {
#@ return
#@ }
#@
#@ set cmd [format {save_open_cels} ]
#@ if {![uplevel #0 $cmd]} {
#@ return
#@ }
#@ }
#@
#@ set cmd [format {org_close_mw_lib} ]
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@ define_proc_attributes close_mw_lib -hide_body \
#@ -info " Closes the milkyway library " \
#@ -define_args \
#@ {{-save "Save open cels" "" boolean {optional}} \
#@ {"" "libraries to be closed" "lib list" list {hidden optional}}}
#@ } else {
#@ define_proc_attributes close_mw_lib -hide_body \
#@ -info " Closes the milkyway library " \
#@ -define_args \
#@ {{-no_save "Don't save open cels" "" boolean {hidden optional}} \
#@ {"" "libraries to be closed" "lib list" list {hidden optional}}}
#@ }
#@ ##############################################################################
#@ #
#@ # PROCEDURE: write_mw_lib_files
#@ #
#@ # ABSTRACT: Write technology or reference control file
#@ # History: Yun Zhang 2012/12/11, public option -stream_layer_map_file
#@ # History: Yun Zhang 2012/9/5. support new hidden option -
vt_cell_placement_properties
#@ # History: Yun Zhang 2011/12/5. add new hidden option -stream_layer_map_file
#@ #
#@ ##############################################################################
#@ proc write_mw_lib_files args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd ""
#@
#@ if {[info exists ra(-reference_control_file)]} {
#@ #Option -reference_contrl_file, -plib and -technology are exclusive.
#@ # If both of them are set at the same time, error reported.
#@ # 9000273455, by xqsun, 2009/2/4
#@ if {[info exists ra(-technology)]} {
#@ echo "Error: Cannot specify '-reference_control_file' with '-technology'.
(CMD-001)"
#@ return 0
#@ } elseif {[info exists ra(-plib)]} {
#@ echo "Error: Cannot specify '-reference_control_file' with '-plib'.(CMD-
001)"
#@ return 0
#@ } elseif {[info exists ra(-vt_cell_placement_properties)]} {
#@ echo "Error: Cannot specify '-reference_control_file' with '-
vt_cell_placement_properties'.(CMD-001)"
#@ return 0
#@ } elseif {[info exists ra(-stream_layer_map_file)]} {
#@ echo "Error: Cannot specify '-reference_control_file' with '-
stream_layer_map_file'.(CMD-001)"
#@ return 0
#@ } else {
#@ set cmd [format {report_mw_lib_ref_ctrl_file -output %s %s} \
#@ $ra(-output) \
#@ $ra() ]
#@ return [uplevel #0 $cmd]
#@ }
#@ }
#@
#@ if {[info exists ra(-technology)]} {
#@ if {[info exists ra(-plib)]} {
#@ echo "Error: Cannot specify '-technology' with '-plib'.(CMD-001)"
#@ return 0
#@ } elseif {[info exists ra(-vt_cell_placement_properties)]} {
#@ echo "Error: Cannot specify '-technology' with '-
vt_cell_placement_properties'.(CMD-001)"
#@ return 0
#@ } elseif {[info exists ra(-stream_layer_map_file)]} {
#@ echo "Error: Cannot specify '-technology' with '-stream_layer_map_file'.
(CMD-001)"
#@ return 0
#@ } else {
#@ set cmd [format {org_report_mw_lib -output %s %s} \
#@ $ra(-output) \
#@ $ra() ]
#@ return [uplevel #0 $cmd]
#@ }
#@ }
#@
#@ if {[info exists ra(-vt_cell_placement_properties)]} {
#@ if {[info exists ra(-stream_layer_map_file)]} {
#@ echo "Error: Cannot specify '-vt_cell_placement_properties' with '-
stream_layer_map_file'.(CMD-001)"
#@ return 0
#@ } else {
#@ set cmd [format {org_report_mw_lib -vt_cell_placement_properties -output
%s %s} \
#@ $ra(-output) \
#@ $ra() ]
#@ return [uplevel #0 $cmd]
#@ }
#@ }
#@
#@ if {[info exists ra(-stream_layer_map_file)]} {
#@ set cmd [format {org_report_mw_lib -stream_layer_map_file %s -output %s
%s} \
#@ $ra(-stream_layer_map_file) \
#@ $ra(-output) \
#@ $ra() ]
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ }
#@
#@ define_proc_attributes write_mw_lib_files -hide_body \
#@ -info " Write technology or reference control file " \
#@ -define_args \
#@ {{-technology "Dump technology file" "" boolean {optional}} \
#@ {-vt_cell_placement_properties "Dump multi-VT cells' implant layer
information of library" "" boolean {optional hidden}} \
#@ {-reference_control_file "Dump reference control file" "" boolean
{optional}} \
#@ {-stream_layer_map_file "Dump layer map file during stream in/out" ""
string {optional}} \
#@ {-output "Output file" "file_name" string {required}} \
#@ {"" "Library to be reported" "libName" string {required}}}
#@ }
#@ ##############################################################################
#@ #
#@ # PROCEDURE: close_mw_cel
#@ #
#@ # ABSTRACT: Wrapper around close_mw_cel to add -save option
#@ # remove_timing_design is the command to shutdown dc netlist
#@ #
#@ ##############################################################################
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@
#@ proc close_mw_cel args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ global mw_is_all_views
#@ set cmd [format {icc_is_dc_up} ]
#@ set dc_is_up [uplevel #0 $cmd]
#@
#@ set cmd_close [format {org_close_mw_cel} ]
#@
#@ if {[info exists ra(-all_views)]} {
#@ set cmd_close [format {%s -all_views} $cmd_close]
#@ set mw_is_all_views 1
#@ }
#@ if {[info exists ra(-all_versions)]} {
#@ set cmd_close [format {%s -all_versions} $cmd_close]
#@ }
#@ if {[info exists ra(-save)]} {
#@ set cmd_close [format {%s -save} $cmd_close]
#@ }
#@ if {[info exists ra(-verbose)]} {
#@ set cmd_close [format {%s -verbose} $cmd_close]
#@ }
#@ if {[info exists ra(-hierarchy)]} {
#@ set cmd_close [format {%s -hierarchy} $cmd_close]
#@ }
#@
#@ ui_util_clean_saved_lib_attr $args
#@
#@ set cmd ""
#@ set lcels ""
#@ set is_current_closed 1
#@
#@ if {[info exists ra()]} {
#@ set lcels $ra()
#@ }
#@ set len [string length $lcels]
#@ if {$len > 0} {
#@ set is_current_closed [is_current_mw_cel $lcels]
#@ set cmd_close [format {%s {%s}} $cmd_close $lcels]
#@ }
#@ if {[uplevel #0 $cmd_close]} {
#@ set mw_is_all_views 0
#@ if {$dc_is_up == 1} {
#@ if {$is_current_closed == 1} {
#@ set cmd [format {remove_design -quiet -designs} ]
#@ return [uplevel #0 $cmd]
#@ }
#@ return 1
#@ } else {
#@ return 1
#@ }
#@ } else {
#@ set mw_is_all_views 0
#@ return 0
#@ }
#@ }
#@
#@ define_proc_attributes close_mw_cel -hide_body \
#@ -info " Closes the design " \
#@ -define_args \
#@ {{-save "Save the design" "" boolean {optional}} \
#@ {-discard "Discard any changes" "" boolean {optional hidden}} \
#@ {-verbose "Print out debugging messages" "" boolean {optional hidden}} \
#@ {-hierarchy "Close top design and its child designs" "" boolean
{optional}} \
#@ {-all_views "Close all views of the design" "" boolean {optional}} \
#@ {-all_versions "Close all versions of the design" "" boolean {optional}} \
#@ {"" "designs to be closed" "design list" list {optional}}}
#@
#@ ##############################################################################
#@ #
#@ # PROCEDURE: save_all_mw_cel
#@ #
#@ # ABSTRACT: Wrapper around save_mw_cel to save all the open cels. Needed for
Black box flow.
#@ #
#@ ##############################################################################
#@
#@ proc save_all_mw_cels { } {
#@ set top_cel [get_attribute [current_mw_cel] name]
#@
#@ set cels [fp_get_open_cells]
#@
#@ foreach cel $cels {
#@ if {$cel != $top_cel} {
#@ current_mw_cel $cel
#@
#@ save_mw_cel
#@ }
#@ }
#@
#@ current_mw_cel $top_cel
#@
#@ save_mw_cel
#@ }
#@
#@ icc_hide_cmd save_all_mw_cels
#@
#@ ##############################################################################
#@ # PROCEDURE: execute_command_and_create_cel_from_scratch
#@ # ABSTRACT: This procedure executes the given command and creates the CEL
#@ # from scratch after executing this command.
#@ ##############################################################################
#@ proc execute_command_and_create_cel_from_scratch {org_cmd_name args} {
#@ global mw_create_cel_force
#@ global mw_enable_auto_cel
#@ global mw_force_auto_cel
#@
#@ set lib [current_mw_lib]
#@
#@ # If no MW lib, design is not from MW. Execute the original command
#@ # and return.
#@ if {$lib == ""} {
#@ return [eval $org_cmd_name $args]
#@ }
#@
#@ # Get values of few variables.
#@ set incr_mode $mw_create_cel_force
#@ set mw_create_cel_force TRUE
#@
#@ # Get auto cel mode, disable it temporarily if enabled.
#@ set auto_cel_mode $mw_enable_auto_cel
#@ set mw_enable_auto_cel FALSE
#@
#@ # Check if the already existing CEL is auto-CEL.
#@ set auto_cel 0
#@ if {[is_cel_auto_cel]} {
#@ set auto_cel 1
#@ } elseif {![get_top_cel_mwid]} {
#@ set auto_cel 1
#@ }
#@
#@
#@ # Run the original command, if not successful restore the incr_mode
#@ # variable and return. No CEL is created.
#@ if {![eval $org_cmd_name $args]} {
#@ set mw_create_cel_force $incr_mode
#@ set mw_enable_auto_cel $auto_cel_mode
#@ return 0
#@ }
#@
#@ # Restore auto_cel mode
#@ set mw_enable_auto_cel $auto_cel_mode
#@
#@ # Now create auto or real CEL depending on what the original CEL was.
#@ if {$auto_cel == "1"} {
#@ # Force creation of auto-CEL, since commands other than read_def/pdef
#@ # do not decouple CEL from DC.
#@
#@ set mw_force_auto_cel TRUE
#@ set cmd [format {save_mw_cel -auto}]
#@ } else {
#@ if [get_top_cel_mwid] {
#@ set cmd [format {save_mw_cel -create}]
#@ echo "Information: Command not supported by incr. update or write-thru."
#@ echo " Creating new CEL from scratch, old CEL will be closed."
#@ }
#@ }
#@
#@ # Create the Auto CEL or normal CEL from scratch.
#@ if {![uplevel #0 $cmd]} {
#@ set mw_create_cel_force $incr_mode
#@ set mw_force_auto_cel FALSE
#@ return 0
#@ }
#@
#@ set mw_create_cel_force $incr_mode
#@ set mw_force_auto_cel FALSE
#@ return 1
#@ }
#@
#@ define_proc_attributes execute_command_and_create_cel_from_scratch -hidden \
#@ -hide_body
#@
#@ ##############################################################################
#@ # PROCEDURE: read_def
#@ # ABSTRACT: Wrapper around read_def to handle incremental update properly
#@ # if MW based read_def is used, bypass the wrapper
#@ # enable_milkyway_def_reader_writer must be TRUE and use_pdb_lib_format must
#@ # be false for MW read_Def to be run, use wrapper if either condition fails
#@ ##############################################################################
#@ rename -force dc_read_def org_read_def
#@ icc_hide_cmd org_read_def
#@ proc dc_read_def args {
#@ parse_proc_arguments -args $args ra
#@
#@ return [eval execute_command_and_create_cel_from_scratch "org_read_def" $args]
#@ }
#@
#@ define_proc_attributes dc_read_def -hide_body \
#@ -info " Read a def file " \
#@ -define_args \
#@ {{-design "name of design for which clusters are to be read" "" string
{optional}} \
#@ {-quiet "do not print out any warnings" "" boolean {optional}} \
#@ {-verbose "print out more warnings" "" boolean {optional}} \
#@ {-allow_physical_cells "allow physical cells" "" boolean {optional}} \
#@ {-allow_physical_ports "allow physical ports" "" boolean {optional}} \
#@ {-allow_physical_nets "allow physical nets" "" boolean {optional}} \
#@ {-skip_signal_nets "skip signal nets" "" boolean {optional}} \
#@ {-incremental "incremental" "" boolean {optional}} \
#@ {-enforce_scaling "enforce_scaling" "" boolean {optional}} \
#@ {-move_bounds "move bounds" "" boolean {optional}} \
#@ {"" "input def file names" "input_def_file_name" string {required}}}
#@
#@
#@ ##############################################################################
#@ # PROCEDURE: group
#@ # ABSTRACT: Wrapper around group to handle incremental update properly
#@ ##############################################################################
#@ rename -force group org_group
#@ icc_hide_cmd org_group
#@ proc group args {
#@ parse_proc_arguments -args $args ra
#@ return [eval execute_command_and_create_cel_from_scratch "org_group" $args]
#@ }
#@
#@ define_proc_attributes group -hide_body \
#@ -info " create new hierarchy" \
#@ -define_args \
#@ {{-except "cells not to be included in the group" "exclude_list" list
{optional}}
#@ {-design_name "name of design created for new hierarchy" "design_name"
string {optional}}
#@ {-cell_name "name of cell created for new hierarchy" "cell_name" string
{optional}}
#@ {-logic "group any combinational elements" "" boolean {optional}}
#@ {-pla "group any PLA elements" "" boolean {optional}}
#@ {-fsm "group all elements part of a finite state machine" "" boolean
{optional}}
#@ {-hdl_block "name of hdl_block to group" "" string {optional}}
#@ {-hdl_bussed "group all bussed gates under this block" "" boolean
{optional}}
#@ {-hdl_all_blocks "group all hdl blocks under this block" "" boolean
{optional}}
#@ {-soft "set the group_name attribute" "" boolean {optional}}
#@ {"" "cells to be included in the group" "cell_list" list {optional}}}
#@
#@ ##############################################################################
#@ # PROCEDURE: copy_design
#@ # ABSTRACT: Wrapper around copy_design to handle incremental update properly
#@ ##############################################################################
#@ rename -force copy_design org_copy_design
#@ icc_hide_cmd org_copy_design
#@ proc copy_design args {
#@ parse_proc_arguments -args $args ra
#@ return [eval execute_command_and_create_cel_from_scratch "org_copy_design"
$args]
#@ }
#@
#@ define_proc_attributes copy_design -hide_body \
#@ -info " copy_design" \
#@ -define_args \
#@ {{"design" "List of designs to be copied" "design_list" list {required}}
#@ {"name" "Name of new design or target file" "target_name" string
{required}}}
#@
#@ ##############################################################################
#@ # PROCEDURE: create_design
#@ # ABSTRACT: Wrapper around create_design to handle incremental update
properly
#@ ##############################################################################
#@ rename -force create_design org_create_design
#@ icc_hide_cmd org_create_design
#@ proc create_design args {
#@ parse_proc_arguments -args $args ra
#@ return [eval execute_command_and_create_cel_from_scratch "org_create_design"
$args]
#@ }
#@
#@ define_proc_attributes create_design -hide_body \
#@ -info " Creates a design in dc_shell memory" \
#@ -define_args \
#@ {{"design" "name of the design to create" "<design_name>" string {required}}
#@ {"name" "name of file for design; optional" "<file_name>" string
{optional}}}
#@
#@ ##############################################################################
#@ # PROCEDURE: reset_design
#@ # ABSTRACT: Wrapper around reset_design to handle incremental update
properly
#@ ##############################################################################
#@ #rename -force reset_design org_reset_design
#@ #icc_hide_cmd org_reset_design
#@ #proc reset_design args {
#@ # parse_proc_arguments -args $args ra
#@ # return [eval execute_command_and_create_cel_from_scratch "org_reset_design"
$args]
#@ #}
#@
#@ ##############################################################################
#@ # PROCEDURE: rename_design
#@ # ABSTRACT: Wrapper around rename_design to handle incremental update
properly
#@ ##############################################################################
#@ rename -force rename_design org_rename_design
#@ icc_hide_cmd org_rename_design
#@ proc rename_design args {
#@ parse_proc_arguments -args $args ra
#@ return [eval execute_command_and_create_cel_from_scratch "org_rename_design"
$args]
#@ }
#@
#@ define_proc_attributes rename_design -hide_body \
#@ -info " rename_design" \
#@ -define_args \
#@ {{"design" "List of designs to be renamed" "design_list" list {required}}
#@ {"name" "Name of new design or target file" "target_name" string
{required}}}
#@
#@ }
#@
#@ ##############################################################################
#@ # If we are in icc_shell (i.e. Galileo) then
#@ # load the procedures to switch between DC and Milkyway collections.
#@ # Set the default to MW collection unless otherwise specified.
#@ ##############################################################################
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@ # load the procedures that switch between DC and MW collections
#@ source $synopsys_root/auxx/syn/collection_procs.tcl
#@
#@ set CS mw
#@
#@ # see if the user wants DC
#@ if {! [catch {getenv USE_DC_COLLECTIONS_ONLY}] &&
#@ [getenv USE_DC_COLLECTIONS_ONLY] } {
#@ set CS dc
#@ }
#@
#@ # set the collection source now
#@ redirect /dev/null {
#@ if {[catch {set_collection_mode -handle $CS}]} {
#@ catch {set_collection_option -handle $CS}
#@ }
#@ }
#@
#@ unset CS
#@ }
#@
#@ ##############################################################################
#@ # procedure for route command
#@ # echo the command to a temp tcl file for seperate process to pick up
#@ ##############################################################################
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@ rename -force route org_route
#@ icc_hide_cmd org_route
#@ proc route args {
#@ set route_cmd_file_name ".route_cmd.tcl"
#@ set route_cmd_temp_file_name ".route_cmd.tcl.temp"
#@ set fp [open $route_cmd_file_name "w"]
#@ set route_cmd [concat "sep_proc_route " $args " -child"]
#@ puts $fp $route_cmd
#@ close $fp
#@
#@ uplevel #0 rename -force route route_temp_proc
#@ uplevel #0 rename -force org_route route
#@ set status [ uplevel #0 route $args ]
#@ uplevel #0 rename -force route org_route
#@ uplevel #0 rename -force route_temp_proc route
#@
#@ if { [info exist status ] == 1 } {
#@ return $status
#@ }
#@ return
#@ }
#@ }
#@
#@ ##############################################################################
#@ # Tcl Command: set_ignore_cell
#@ # Description: Load the command only in IC Compiler (icc_shell)
#@ ##############################################################################
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@ source $synopsys_root/auxx/syn/psyn/ideal_cell.tcl.e
#@ }
#@
#@ ##############################################################################
#@ # Tcl Command: check_physical_design
#@ # Description: Load the command only in IC Compiler (icc_shell)
#@ ##############################################################################
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@ # Load the compiled Tcl byte-code:
#@ # 9000784997: Do not log to command.log when sourcing .tbc files
#@ set prev_sh_source_logging [get_app_var sh_source_logging]
#@ set_app_var sh_source_logging 0
#@ source $synopsys_root/auxx/syn/psyn/check_physical_design_core.tbc
#@ source $synopsys_root/auxx/syn/psyn/check_physical_design_utils.tbc
#@ source $synopsys_root/auxx/syn/psyn/check_physical_design_flows.tbc
#@ source $synopsys_root/auxx/syn/psyn/check_physical_design_reports.tbc
#@ source $synopsys_root/auxx/syn/psyn/check_physical_design_ui.tbc
#@ source $synopsys_root/auxx/syn/psyn/sanity_setup_opt.tbc
#@ source $synopsys_root/auxx/syn/psyn/sanity_setup_cmd.tbc
#@ source $synopsys_root/auxx/syn/psyn/sanity_setup_rpt.tbc
#@ source $synopsys_root/auxx/syn/psyn/create_qor_snapshot.tbc
#@ source $synopsys_root/auxx/syn/psyn/report_qor_snapshot.tbc
#@ source $synopsys_root/auxx/syn/psyn/msgParser.tbc
#@ source $synopsys_root/auxx/syn/psyn/displacement_gui.tbc
#@ source $synopsys_root/auxx/syn/psyn/categorize_timing_gui.tbc
#@ set_app_var sh_source_logging $prev_sh_source_logging
#@
#@ source $synopsys_root/auxx/syn/psyn/mcmm_utils.tcl.e
#@ source $synopsys_root/auxx/syn/psyn/propagate_all_clocks.tcl.e
#@ }
#@
#@ if { [string match -nocase {*dc_shell*} $synopsys_program_name] &&
[shell_is_in_topographical_mode] } {
#@ # 9000784997: Do not log to command.log when sourcing .tbc files
#@ set prev_sh_source_logging [get_app_var sh_source_logging]
#@ set_app_var sh_source_logging 0
#@ source $synopsys_root/auxx/syn/psyn/create_qor_snapshot.tbc
#@ source $synopsys_root/auxx/syn/psyn/report_qor_snapshot.tbc
#@ set_app_var sh_source_logging $prev_sh_source_logging
#@
#@ source $synopsys_root/auxx/syn/psyn/mcmm_utils.tcl.e
#@ }
#@
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@ source $synopsys_root/auxx/syn/psyn/write_timing_context.tcl.e;
#@ source $synopsys_root/auxx/syn/psyn/write_scenarios.tbc;
#@ }
#@
#@ if { [string match -nocase {*dc_shell*} $synopsys_program_name] || [string match
-nocase {*de_shell*} $synopsys_program_name] } {
#@ source $synopsys_root/auxx/syn/dct/write_timing_context_v2_0.tcl.e;
#@ }
#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/syn/dct/write_timing_context_v2_0.tcl.e

#@ ## $RCSfile: write_timing_context.tcl,v $ $Revision: 1.4 $


#@ #
#@ ## This is the version 2.0 for write_timing_context script;
#@ ## Any new change should be added to this script or the
#@ ## helper script write_timing_context_translation_procs_v2_0.tcl
#@ ## To invoke the version 2.0 from dcnxt_shell
#@ ## package forget snpsWriteTimingContext
#@ ## package require -exact snpsWriteTimingContext 2.0
#@ # TclPro::Compiler::Include
#@
#@ if {[catch {package require tbcload 1.6} err] == 1} {
#@ return -code error "[info script]: The TclPro ByteCode Loader is not
available or does not support the correct version -- $err"
#@ }
#@ tbcload::bceval {
#@ TclPro ByteCode 2 0 1.7 8.5
#@ 6 0 76 23 0 0 52 0 9 6 6 -1 -1
#@ 76
#@ w0E<!(H&s!/HW<!,Ki<!5/Ypv-TA9v.lvpv4,o9v<yY>!8oJ=!:fAs!>vRK%85#pv/HW<!=i
#@ A=!:Qr<!CD5>!JPG>!Eir!!
#@ 6
#@ B=xOw7B!
#@ 6
#@ M=xOw@|!
#@ 23
#@ x
#@ 7
#@ ld^LCOef+
#@ x
#@ 7
#@ ?DM&GTyf+
#@ x
#@ 22
#@ <#Z)Fsb5DFysu.DN:CY6.gVTAc+%
#@ x
#@ 3
#@ y#-&
#@ x
#@ 9
#@ njkSAt=sp@1v
#@ x
#@ 4
#@ uKhgC
#@ x
#@ 4
#@ Ludh9
#@ x
#@ 0
#@
#@ x
#@ 19606
#@ 7n%sFja0;@u@#D+?AhAG!X&(FHRM`FA2::+S4AsFja0;@u@#D+moe^FpWg:@LJW(4/&LBDs2
#@ K(Ff.fRA)3Q<@W&k)<*s(B+<#V<+D-<cE(opcB*wjiC(aEiCwMBRA'(L;@26sJDZSSF+<#V<
#@ +LdV<+0;m|;)LtA+A:be0LHRc1_<@3@MjV?39@ke0F'@D2IvV<+LdV<+91VE+vdE/Du;!iC&
#@ K#DFfjX9@eh*:@.&l%Gg!lr@si`aEups#?R'T9,!*-NB//ufA!Q5)F<DvmB6S.#H1=,D+EK,
#@ T/Ludh96dV<+@NncBGYU^,vdE/DDn<@wLdV<+LdV<+qd1kB&mSCFF4O<@EL/EFIHxQHmgBTA
#@ =;2EF,/l_F(#l_Fn^CkB1+ME+5dfRAvXxlB*!J9>b%4SA!vlr@si`aEups#?r10+46dV<+TX
#@ 93I1D5)F,n<@wLdV<+LdV<+qd1kB&mSCFF4O<@EL/EFIHxQHmgBTA=;2EF,/l_F(#l_Fn^Ck
#@ B9t/<IQvV<+LdV<+>#V<+LdV<+91VE+?/l_F+5#-E6=VE+CyjiC,<nHD92::+LdV<+9MfCF5
#@ Q?<@.wJ9>rLa:@*8>D+8*Z4B:R2E+BQ?<@ZxC*1LW/EFIHxQH1e4k.x7d'46dV<+@NncB0tC
#@ E+2'aaEP2::+LdV<+1=,D+<K3r@D*SF+<#V<+LdV<+:tJTAHR8EF4XVE+lZMTAk'cOBF@BTA
#@ ,rP-E&rnbEhm5SALW/EFG5qT,2'aaE4rjIDApmq>neOd;dX|;@Q_Ac3!dw%?QvV<+LdV<+>#
#@ V<+LdV<+7pZQHlZMTAk'cOBF@BTA,rP-E&rnbEhm5SALW/EFG5qT,2'aaE4rjIDApmq>2?kd
#@ 9o1hm9)QaU>RRpg9X2a'<d'yY>`N3N9&QJ4Iou'x4d3:3I<H<:+LdV<+IJcZE8JlcEAF`cEA
#@ gM9wLdV<+>wO@wLdV<+9nATAAl9KDuoggC?gM9wLdV<+>#V<+g,74w12x;@-T#-Ek%#D+uKh
#@ gC4y8Q8y6ZQH6dV<+S4AsFja0;@u@#D+moe^FpWg:@LJW(46dV<+>eTfDvosdD(p`aEZ.oRA
#@ w@VTAP5>F+ip,<@#eTZ?4/;EFZ_xQH6dV<+O*S9,9,aaEegpgCpolRAFyi(46dV<+8x?3@6`
#@ t!HC+H)F*u>0Aw3BCF?<N9wLdV<+5(^aE9C.F+91VE+ip,<@9+ME+,c/bE%HK(FtGo(FP2::
#@ +LdV<+Fi4dBGYU^,moe^FL`xQHDP)*FEFr:6Moe^F>tG9>(w=JDp/`aE9C.F+-1VE+ip,<@!
#@ Ss#?^jq=+YsI!4>N<:+LdV<+Fi4dBGYU^,moe^FL`xQHFOMEF!C5g8oq%[email protected]?+,CHr@(pM
#@ TAm#/gCs9V5BwUI9>sB-<@53x;@2+ME+ip,<@!Ss#?ubir@si`aE:x.',YwO@wLdV<+R<n9,
#@ 7pZQHq''V@FmRF+@uJTA7u+lB-wBCFp#K(Fv8M?+>#V<+LdV<+w^DTAn7>D+!=mID#6XeDp/
#@ `aE9C.F+njkSA)plRAw@VTA8UZQH6dV<+LdV<+R<n9,7pZQHq''V@FmRF+LPMEF!C5g8oq%V
#@ @X.F?+uKhgC+dmlBj^o|;dX|;@7t(E+,dfRAvXxlBkW/6I6dV<+LdV<+R<n9,1-pRAw@VTA(
#@ c/bEf=+Y?0Kk_FpolRAw@VTA(c/bEf=+Y?0Kk_F.O<:+LdV<+LdV<+sB-<@I(RKD91VE+&d/
#@ bE%HK(FQvV<+LdV<+8x?3@6`t!H9MfCF)joRAw@VTA=:`0Aw3BCFF_dU,!=mID#6XeDZ1::+
#@ LdV<+LdV<+Py)!Fip,<@As&!.fIaY?sj|Z?cLK;@&4.lB`e`:@M`#=.|^99wLdV<+LdV<+4P
#@ 5cE^IbOB)tnC+pGo(F0TvhCrKU:@9UZQH6dV<+LdV<+LdV<+w^DTAn7>D+nfI9>4/;EF!*7h
#@ C,WrSA9UZQH6dV<+LdV<+LdV<+7+:eDhqrp@q|6q@ruwlB8u>0A#Nt!HrQ>SAmb/C+<#V<+L
#@ dV<+LdV<+LdV<+4P5cE^IbOB3UbD+pGo(F'R^:@Dd.F+s?VTAwn<@wLdV<+LdV<+LdV<+LdV
#@ <+9MfCF1r<JD6O.F+j`@lBvw/gC=xVE+-tnC+7xtD+-qeC+7s<JDHC&LA1UZQHA8d'46dV<+
#@ LdV<+LdV<+LdV<+:tJTA/-R;@9cCvH!K`eDtfI9>4/;EF-lH7A7qXID-i6q@6?U;@xMqT,pe
#@ /C+,(w%?QvV<+LdV<+LdV<+LdV<+@NncBC5>F+e<;oA9v&yG;J)*F)joRAw@VTANNU'Dt0ZS
#@ AAF-C+>7G9>wm<eD32=mBGXME+&d/bE%HK(F<8R;@9cCvHEf;3I<H<:+LdV<+LdV<+LdV<+L
#@ dV<+R<n9,7pZQHq''V@FmRF+M9-wEIXME+iKap6pZ@6BNnsdD(p`aE+S5D+5r<JD6O.F+-jo
#@ RAw@VTANNU'Dt0ZSAZ5&sFF6U'D!OZSARlM!Fip,<@As&!.7GYxG?K#=.xuO@wLdV<+LdV<+
#@ LdV<+LdV<+@NncBC5>F+-joRAw@VTANNU'Dt0ZSAZ4rW+kkM!Fip,<@As&!.7GYxG?K#=.Z_
#@ xQH6dV<+LdV<+LdV<+LdV<+LdV<+R<n9,7pZQHq''V@FmRF+LPMEF!C5g8oq%[email protected]?+faGn
#@ AvjmIDk,B3@G6U'Dt0ZSARlM!Fip,<@As&!.5r<JD?K#=.K|ME+7GYxG6O.F+-joRAw@VTAN
#@ NU'D!OZSA!,H!4>#V<+LdV<+LdV<+LdV<+LdV<+O*S9,4=,D+5'mRA3ym:I<H<:+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+RmV<+w!saE6Jo(Fr-ur@Ht+*46dV<+LdV<+LdV<+LdV<+LdV<+R<n9,
#@ ;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+82_`FS@(d0QvV<+LdV<+LdV<+LdV<+Ld
#@ V<+O*S9,AN<:+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<
#@ +LdV<+>wO@wLdV<+LdV<+LdV<+>N<:+LdV<+LdV<+>wO@wLdV<+LdV<+>N<:+LdV<+>wO@wL
#@ dV<+R<n9,7pZQHq''V@FmRF+>KfCFB4uD+:Jo(Fr-ur@Ht+*4>#V<+LdV<+82_`FR7bH0QvV
#@ <+>wO@w>wO@w18-wE1>fC++R.lBet&7Aw3BCFq?q-Dp0q-DjxBRA0M.#HmuACF^R1kBpi/bE
#@ 4LVE+``xQH6dV<+S4AsFja0;@u@#D+moe^FC2::+JS)!F9R,!FdX|;@!Y0Z?#VBq@hOfq@i3
#@ 5SAq**+Es!Q<@<JklB#FV5BcXKV@6>d#Hgc/bEf=+Y?&!j'FdX|;@!Y0Z?#VBq@hOfq@92::
#@ +JS)!F3q3'D*tkD+e0cSA)mRQBjNggCy_rp@0dhTAgdfRAvXxlBiMV!?;H8:+JS)!F9R,!Fm
#@ QI9>lj|Z?ms9Z?6nCE+.9BfD!G'7Anly^F*wj'FtQ7lB`vO:@rF'7A%B5DF7OM9wLdV<+#k|
#@ Z?ms9Z?6nCE+.9BfD!G'7Anly^F*wj'FtQ7lB`vO:@rF'7A%B5DF)Fn#46dV<+1=,D+<K3r@
#@ D*SF+<#V<+LdV<+qd1kB&mSCFF4O<@ktWU@[email protected]%s<JDy.oRAw@VTAL-
#@ .fD0a%lBVMJvFdX|;@5cP)FjNggCy_rp@0dhTAgdfRAvXxlBGoc'4>N<:+TX93I1D5)F,n<@
#@ wLdV<+9nATAqKDTAF.fK/*XxlBagbSA7i;9A?,ETA=;2EF!*v/Dj7=Y?ip,<@HVeK/8r#-EQ
#@ 15*4>N<:+TX93I6dV<+9MfCFm5|,Eu,aaE4&O+E,=^Z?|(j:@,+^Z?)x*JDhOfq@o0^Z?j-M
#@ kBM/qT,K8i(4u!V<+:tJTA9r89A-cB'F1Q5DFLW/EFp;nHDOb`WF2NfCF7i;9AQvV<+R<n9,
#@ XEn9,XEn9,XEn9,XEn9,<>9:+O*S9,!*-NB<O,!FrimlB-Z#j@2&Z)F.0?SA(^Bq@26sJD8G
#@ 6bE=FG<F,qeZE.o5cEU#*!FlUfRA>a#D+i=|7AE?)E+`=Bq@VYIOA)x*JDkR@OA&BB3@`kxH
#@ 9PifQ6*kbD+^IbOB6dV<+R*r<+I=|7A;PKbE*%,!FrimlB=FME+,HX/D2TVkB7oATA=;2EF6
#@ dV<+UEn9,XEn9,XEn9,XEn9,XEn9,9vV<+5(^aE9C.F+3aMTAGF`cE><N9wLdV<+!^*m6uE)
#@ 5B/#V<+:tJTAw:tX?2'aaE(w/gC#O`aE:_eK/pR5SAN&qT,7vDE+;!Y<+`XIOA)x*JDLdV<+
#@ Ip,<@+:w%?QvV<+1A`nAELc0AtQ?<@d`S<4d3:3IB=ZKA=u5cE`FD7@kR|7AE?)E+.|k)F)o
#@ ATA=;2EF!*v/Dj7=Y?ip,<@9+ME+RidlBjso|;rimlB=@,!F3f1EFxuO@wLdV<+w!saE#Hi'
#@ F3f1EFdwL9wLdV<+91VE+c0mIDm#/gC#O`aE:_eK/pR5SAWvfK/2'aaE>:`0AtQ?<@L2qT,7
#@ vDE+uwN'FJt!%?QvV<+1A`nAELc0AcsY8Al2oT,vf:EF<L9<IB=ZKA=u5cE`FD7@kR|7AE?)
#@ E+.|k)F)oATA=;2EF!*v/Dj7=Y?ip,<@9+ME+RidlBjso|;rimlByQJ!4>N<:+@NncB0tCE+
#@ c0mIDYMFe0QvV<+:tJTA9r89A>M21AuE)5B4AG9>%RIID2ZpT,7vDE+'Hi'F3f1EF)EtX?ah
#@ @v?QvV<+R<n9,Vs5hC-#V<+:tJTA#4kX?2'aaE(w/gC#O`aE:_eK/pR5SAWvfK/2'aaE>:`0
#@ AcsY8A>(99A8f*@?LdV<+MZ!iCLdV<+`XIOAw@VTAAOM9wLdV<+7pZQH&Ei'F3f1EF#J`H0Z
#@ _xQH6_PcEEo:s+I<xhC*+G<F-?m;@7V#D+.9BfD1-0CF%s<JDy.oRAw@VTA|S@OAmmo|;rim
#@ lB=@,!F3f1EFxuO@wLdV<+w!saEvEi'F3f1EFdwL9wLdV<+91VE+b0mIDm#/gC#O`aE:_eK/
#@ pR5SAWvfK/2'aaE=1Ej@tQ?<@L2qT,7vDE+uwN'FJt!%?QvV<+1A`nADCHj@csY8Al2oT,!f
#@ :EF<L9<IB=ZKA=u5cE`FD7@kR|7AE?)E+.|k)F)oATA=;2EF!*v/Dj7=Y?ip,<@9+ME+MZ!i
#@ C|RAq@26sJDqT:3I6dV<+'!?r@!4kX?wAoC+osK9wLdV<+91VE+5fk)F)*7hC2AG9>%RIID2
#@ ZpT,7vDE+&Ei'F3f1EF(?kX?ah@v?QvV<+R<n9,YLJV@Vs5hC-#V<+:tJTA,jgY?2'aaE(w/
#@ gC#O`aE:_eK/pR5SAWvfK/2'aaE=1Ej@csY8A>(99A8f*@?LdV<+Y%|C+MZ!iC`XIOAw@VTA
#@ AOM9wLdV<+7pZQH/`i'F3f1EF#J`H0Z_xQH6_PcEEo:s+I<xhC*+G<F-?m;@7V#D+.9BfD1-
#@ 0CF%s<JDy.oRAw@VTAjXThBLS@OAmmo|;rimlB=@,!F3f1EFxuO@wLdV<+w!saE+`i'F3f1E
#@ FdwL9wLdV<+91VE+k0mIDm#/gC#O`aE:_eK/pR5SAWvfK/2'aaEF-:aCtQ?<@L2qT,7vDE+u
#@ wN'FJt!%?QvV<+1A`nAM?=aCcsY8Al2oT,*f:EF<L9<IB=ZKA=u5cE`FD7@kR|7AE?)E+.|k
#@ )F)oATA=;2EF!*v/Dj7=Y?ip,<@9+ME+Y%|C+MZ!iC|RAq@26sJDqT:3I6dV<+'!?r@*jgY?
#@ wAoC+osK9wLdV<+91VE+5fk)F,XKV@mZ!iC2#/gCu-V5B?uh<F<,qT,*f:EF4XVE+!7BRA7B
#@ c'46dV<+C+H)F:xG<F=9N9wLdV<+7J`nAELc0ApZ@6BZ5>F+DP)*FEFr:6Moe^F'O+m61EtX
#@ ?2'aaEX^v>+nsARA=iU=+iHEj@tQ?<@U.fK/(?kX?-=d:./>cU,*f:EF4XVE+T,:aCcsY8Ar
#@ bL?+>#V<+R<n9,XEn9,XEn9,XEn9,XEn9,<>9:+O*S9,vE_bDF.<cEvjJ(F&R^:@'_i|DQYI
#@ OA)x*JDkR@OA&BB3@`kxH9PifQ6*kbD+'mSCF1oG)F6dV<+4P5cE^IbOB(^Bq@26sJDp/`aE
#@ 9C.F+njkSA8r89A/&0C+<#V<+LdV<+7J`nAELc0ApZ@6BU^i-EIXME+iKap6pZ@6BKYFID8=
#@ j?H+S5D+'mSCF3+uD+,^Bq@26sJDxuO@w6dV<+8x?3@6`t!HC+H)F*u>0Aw3BCF?<N9wLdV<
#@ +:tJTA,0`OB)mi'FdX|;@7t(E+&'T9,m?OPA*+G<F5ALJDJ*D9G!gRQB8UG<F3oATA/!iTA.
#@ 0?SA-l&(F3osdD4NaJD9qFID(oATAnxoV@-GqcB>Q9:+LdV<+1=,D+Sk_<[email protected]&d!IQ6n
#@ T,!=mID#6XeDt|2TAh'DkBFj07->+KTA'<XeD0NaTHg.'q@2_E;IZ5>F+91VE+vET,EgdfRA
#@ vXxlBqdqv4>N<:+LdV<+R*r<+aBHr@8UME+^IbOB0o<JD'fAoA.0?SA4&O+EBXVE+'mSCF3+
#@ uD+2lffD1UtD+sB-<@;fAoA(dfRAvXxlBFsC9G:olRAw@VTA(c/bEf=+Y?0Kk_FX8Sh/DvV<
#@ +LdV<+w^DTAn7>D+u3uSA>(99AS_dU,'mSCF<'j;.<H<:+LdV<+JS)!F9R,!FdX|;@7t(E+/
#@ h0Z?!=mID#6XeDY2::+LdV<+@NncBC5>F++WNeDr!lr@si`aEBH<3I<H<:+LdV<+LdV<+9Mf
#@ CF(dfRAvXxlB#w/gCmxoRAJ-:aC)G#D+ah@v?QvV<+LdV<+LdV<+91VE+u3uSA&rnbEomK;@
#@ .ZpT,u3uSAwsY8AwsY8AR'T9,0/`OB@OG<F-%,!FdX|;@!X'?wLdV<+LdV<+>#V<+LdV<+:t
#@ JTA*plRAw@VTAruwlB'E(yG;%#lB%QYKARpHe092::+LdV<+JS)!F*u>0Aw3BCFh!Q<@3y#D
#@ +ko<JD.I%F+4o<JD%rjID(OM9wLdV<+LdV<+%6|,EwAoC+ip,<@As&!.,dfRAvXxlBSOnT,i
#@ p,<@?ZpT,ip,<@&gewGtf_TAQvV<+LdV<+>#V<+LdV<++c:*:GdG<F9xVE+.5#D+ip,<@9+M
#@ E+4CDE+sjkSA1r<JDBGYxG0KhgC&y;:+LdV<+9MfCF+0Hr@f*q-D&j!eD(p`aEuuI9>`2&M9
#@ LYcl@%(p;@gn&7Aw3BCFuuI9>sB-<@2-3SA*plRAw@VTAo8/C+QvV<+LdV<+7pZQH/0Hr@f*
#@ q-D&j!eD(p`aEBT<3I<H<:+LdV<+O*S9,4=,D+5'mRA3ym:I<H<:+LdV<+LdV<+'!?r@5u+l
#@ B*pW/Du3DTAE-:aClgggCuJo(F'NB,E#BK(FQvV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+8
#@ JfCFB4uD+/0Hr@f*q-D&j!eD(p`aE?<N9wLdV<+LdV<+>#V<+LdV<+>#V<+>wO@wLdV<+7J`
#@ nAELc0ApZ@6BDn<@wLdV<+9nATAAl9KD<+,lB*pW/Du3DTAO2::+TX93I6dV<+8JfCFB4uD+
#@ a1::+Ai87,XEn9,XEn9,XEn9,XEn9,XEn9,YgX8ARF=SAr#0bEt>mlBD&V9,XEn9,XEn9,XE
#@ n9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,#H8:+)<..D!:p;@oPYKA0JbD+`2&M9om<@wLdV<
#@ +ww'j7v-0bE'Y#D+unsdD!CIID6LJ&G*6ylBqYtfA'A#D+,HX/D.0?SA?_9fD6k2DFv%)oA6
#@ dV<+R*r<+a#K(F.8oTA+c:-Bv-0bEkj|7A9mtD+fLA@+xSmX?>xgb1;LGN/YXk8w6dV<+6,a
#@ aEegpgC#WSkBy4#4B>)6-E'w/gC=xVE+<rCEF*'f^FAt/<I:,veD)QYKAqf<JD&osdD!CIID
#@ A<SF+daI(Fxo9i:!miJD+S5D+Y2F/Du@oC+j:2`FU`xQHn@w7Ia#v&=jEx7I`f%c;<hn|;rZ
#@ !iCacV/DmgY8AX`xQH4*a8IoardC=CDE+X?IIDwFV5BLbt6BHsRF+)<gAGA9SF+cFx7I1-pR
#@ A)x*JDsP5cE#HK(FY`xQHn?YA+fU74A,3=JDL`xQHJFfRA;Jx:I'g1)E+R.lB0dmlBG-SF+>
#@ qmU?V`iaEAjf<I@;/QB0vgq@Zh*:@kiJ(FX`xQHM1sp@rZmIDY`xQHqLK;@0dhTAimK;@g!l
#@ SAL?SF+)01`F*FV5BXwf*F;-SQB,|o(F?Bh'>BMMEFG8d'46dV<+R*r<+%6|,EwAoC+gLoRA
#@ tJTfDELME+DPA@+Y97hC`XPaD!CIIDy02+4R*r<+Te&d0?x(00&E1m-mliJD4u>0A8APQB+`
#@ |N@kcy^F2D3'DCxVE+w3F/Ds/B(F%3DTA>OA@+Y2F/Ds/B(F9mtD+XdpZ3KM9:+Mn%sFja0;
#@ @u@#D+rTN+EtJTfDELME+pGo(F0ao-<%s<JDXC#FD1SV*FF<SF+=*v/D3Gm:IMnVV6AF#%?Q
#@ vV<+9bN<@ktWU@)2RECfR9Z?w3F/Ds/B(FruwlBGR,!Fh=ap@!:_LChfACFj7=Y?`=Bq@0uJ
#@ TAmR1kBogpgC`gwhCi.aY?rcmlBdk`p@hp^LC9MfCFm&sJD.?ffD-8>D+#k|Z?-#cDF/A2`F
#@ e'7ID7;-gD=7,!FjOBRA7I-<@'<XeDw*T,E26sJD8uJTAmC,8A^.jY?41VE+#k|Z?`t`:@kj
#@ tSAD/6cE0uJTAm:K;@vk>r@jh87A>S;EF,ZbDF*/uDF1oG)F9MfCFrGYxG`6*+En55DFdUfR
#@ A9MfCFrGYxGsH-<@6f5DF3+uD+#k|Z?sj|Z?n#BbE2u|fDEO,!FtTRdDup,<@*FV5Bl#sJDv
#@ 'nlB=FME+#k|Z?,1gZ?<;2*FgKxhC8O,!F&?fwG(=?<@+qeZE/5M&G`gwhCi.aY?rcmlBdk`
#@ p@hp^LC9MfCFa-7hCk^BRA5*v/D%<XeDw*T,E26sJD8uJTA#^J(F#_T;@26sJD*/uDF1oG)F
#@ CF.>Hf44Y?vwviCrimlB<7fZE/5M&GiZ8bEk(ap@w1cSA'<XeD3Pl^F/jmIDi.oRA)x*JD#k
#@ 84wR<n9,cw>SA&-B3@)QYKAv1?SA<y-fD0TvhC(?elBeI|7ACBDE+lN!iC8ebDF8APQB-.N0
#@ A4iW<@Fok<+8aVE+-H>0A(0U?wLdV<+*XxlBagbSAv^,8Ac-!eD!CIIDtuI9>4/;EF#k84wL
#@ dV<+IIr:6,IY/03lt>+?a1kB64G<F17ME+^d^LC9mtD+#k|Z?tiE+E2fbDFlf`aE+ntD+6vV
#@ <+/&I;@+dmIDvWSkBXe`p@vkT;@2(ME+B1lDFf=+Y?mxPSA7XME+7G#%G^:K;@vk>r@j_W:@
#@ 7*Y<+#k|Z?rNZ<@2RRdD,f:EFw@VTABrY<+#k|Z?3DccE,&MEF:T)!Ff++:@/%1Z?n-6<@_%
#@ +Y?e+nX?2u(*F:tJTAq'mdDu7X:@1dRdD2*v/D0KBCF3+uD+9MfCF`*7hCscreDncmlB?QY<
#@ +#k|Z?mZ!iCoo`aEs0^Z?/;2*F8%DE+9MfCF`*7hCo-DTA:<ylB'<XeDCT)!Fh=ap@!:_LCh
#@ fACF^:K;@vk>r@NT)!Fh=ap@!:_LChfACFj7=Y?mxPSA7XME+9MfCFjoreDaF#4Bw3=JD%f:
#@ EF7A#D+9MfCFkoW/Dv(cSAk&TbErimlB;+ME+9MfCFl#sJDvmSCFrd'Z?pl&(FHT)!Fh=ap@
#@ -@^Z?26XeD@b!wH:tJTAuHraE!%gq@kWNaEhm5SA:tJTAi.oRA)x*JDuqCEF*'f^F9+ME+9M
#@ fCFhlJ(FjL'Z?@72E+9MfCFj&oCFe*cr@l#sJD#E>_F(dmlB?QY<+#k|Z?jm|Z?'aMTA6<Xe
#@ Dl-!eDqs(5B43BCF3+uD+9MfCFm&sJDv.DTA'.lSA:tJTAs3*eD--0CF'1cOB:tJTAo^CkB1
#@ Kt_F1Es+Em0m*E,=^Z?2u(*F:tJTArw@hC&wWTACT)!FnsYjB&=cSA,ZbDF%<XeDCT)!FnsY
#@ jB1?0bEjoreD^+'7A#Nt!H*/uDF1oG)F:tJTA'!o(F(!BCF3+uD+9MfCFvW|fDvdmlBl+ap@
#@ ;2ccE=KY<+#k|Z?rTN+E/F^Z?tE%6B9QY<+#k|Z?l4O:@m-%lBqsUgCkg,<@MrY<+#k|Z?#@
#@ MkBVq8q@:tJTA&dreD_emX?/G#D+9MfCFnT_5Br/(=H3:)E+9MfCFjT*+Eu/4iCNT)!FrBq-
#@ Df7+:@%iSC+9MfCFrGYxGs>fCFkWmID0k>D+9MfCF%TsiCudtjB**T,E26sJDHT)!FsK7IDv
#@ pNaE9KnlBsHNaE3A#D+9MfCF*/uDF15.>Hh-DTA//;EF7RME+9MfCF(o5cEuNACF)kKV@9HK
#@ (F:tJTA*'BfD):VgCtZACF3+uD+9MfCFj*Z4B-!WTApdkSA(a<JD<T)!FvpNaEu8o(Fv_KZ?
#@ :p2TA:tJTA!vlr@`=BRAp!lSADcY<+#k|Z?rwIIDj*Z4B-!WTA6D!wH76Y<+#k|Z?3'8UAu/
#@ 4iCNT)!F#wj'F6g)s@8pDTA:tJTA5,7BGu-cOBj7=Y?nimlBDb!wH:tJTAw:VTAt++:@@o90
#@ D:T)!Fw-0CF#@^Z?t0H8A:tJTA.<TKD&BjJDnEVTA9T)!F%6K^F.KTfDGWY<+#k|Z?.dxlB`
#@ vO:@26sJDv0ylB6c5DFNT)!F'H,@Gl7+Y?mC97Apr<JDilreDm|i'F5k#D+9MfCF)`TbElcr
#@ eD^gp-D%)#D+9MfCF)`TbElcreD^gp-Dq-7hC:tJTA+p3mBoaUgC`S!Y?!qbSA'<XeDs`AbE
#@ AI2E+:uMTA#HK(F'j&fDvVbD+Q1::+O*S9,_VSf:kcegA;%lD+82--E-A#/0<)2&GBZ(p/Ai
#@ 0fDGhV*F:oW/DGJfCF.BG%G9(m^F;NX/D4Yu4@-a%lBncmlBv:7ID3HK(FEqCEF^R>SA_^Ck
#@ B@|:60<O1,1csK_;>WT,EQ?+w-Lt0|F9o5cErqXJ09p!w-`pPQBcqXJ0:XB77/@be0>%oh/F
#@ GvA5hy*QAk'cOBl+V`Fr'7`E2W77AyA`:@0n6>-hy*QAk'cOBl+V`FpwxyFs|/bEASm8-a&M
#@ 8@yLZyF|bO8w/&I;@+dmIDvWSkBXe`p@vkT;@2(ME+k*T7A(o5cE2f:EF'Y#D+k*T7A-GhAG
#@ !si'F&@VTA'T67AsJY)F2f:EF'Y#D+4bt)Fi40;@#Li'F9?FiC(4MTA8eZN@g%vY?`=Bq@/%
#@ DE+-#sJDvmSCFnT_5Bfm6ID;cY<+&BjJDhd|Z?@r|,E*x7ID;cY<+hIa:@qaUgCoRfq@s>fC
#@ F74paEl?VTAnT_5Bh*m*EBXVE+'9DTAf=+Y?3'rTAq3*eDrN<JD74paEl?VTA-GhAG!si'F&
#@ @VTAtJTfDGWY<+hIa:@u0m*E5H0bE*W4mB,8>D+'9DTAf=+Y?DxVE+'9DTAf=+Y?@r|,E*x7
#@ ID;cY<+hIa:@#Li'F9?FiC/'BfDHcY<+hIa:@#Li'F9?FiC(4MTA:v<0A%0saEyy*Y?49BCF
#@ vZbcE#0elB26sJD1JAkBmwRdDaCBq@I`Y<+mC97AvmMoA0,R;@#!o(F(!BCFrd'Z?mZ!iC0,
#@ R;@m^bSAs:jY?vqbnAv_KZ?mZ!iC0,R;@&'BfD%_KZ??r5DF-?Y<+jF9Z?(LVTA2<XeDb(fR
#@ A:KY<+njkSAsP5cE/%VE+8u_TA%Map@iOjY?6>;EFdUfRAFBH?E=Jd#H`*7hCf@T;@!_|7AH
#@ NA`F'x6x?/B=iC%<XeDABH?E=Jd#HjT*+E-GhAG!si'F/Fur@;BH?E=Jd#Hs>fCF(o5cEI`Y
#@ <+5B0bE/'BfD+L7ID;cY<+5B0bE/'BfD0wj'F&@VTAHNA`F'x6x?3'rTAq3*eDrN<JDHNA`F
#@ 'x6x?3'rTA3,7BGu-cOBHNA`F'x6x?DxVE+8u_TA2mm*E1wj'F&@VTAHNA`F'x6x?(LVTA2<
#@ XeDABH?E=Jd#HvKfCF(6nlBl+ap@;2ccE=KY<+5B0bE8oU`F=G.#Hs>fCF6P3<@'^J^F89Y<
#@ +#k|Z?ridlBbn*:@;<ylB('WTAHT)!FiF'7AuE)5B(?ffDET)!FiF'7AsjkjB-oU`F=G.#Hs
#@ >fCF:tJTA!LiFE-@Q<@+ZbDF:tJTA'!o(F(!BCF3+uD+9MfCFvW|fDvdmlBl+ap@;2ccE=KY
#@ <+#k|Z?wXhTAsNIQBuNVTAGT)!Fu|m*E(BnlBh<RdD+ZbcE&KaiC%<XeDCT)!Fu|m*E,=^Z?
#@ 3DccE,&MEF:`Y<+#k|Z?(LVTA2<XeDCT)!FvpNaEwpmID26sJDl#sJD9?=iC:tJTAv.DTA3Z
#@ bDFrd'Z?pNR.D2;)*F:tJTA!vlr@1M#D+9MfCFu&v/D49BCFXv|RAuo*mBC|Y<+v84Y?8ik)
#@ F3+uD+Q1::+Cij`CsT2TA'iUHB^b*Y?w3F/Ds/B(F9MfCFj*Z4B-!WTApdkSA(a<JD,uJTAv
#@ .DTA//;EFuiNaEva%lBncmlB=@,!Fu|m*E'FMTAwD+Y?n0Hr@_qr:@9%,!Fw-0CF,EG)F,Qs
#@ /DsP5cEvKfCF%s<JDy'T9,F@r:6(15/0FjHD20LGJ0>^gb1m==8w6dV<+*Q*+EtsY8Av^,8A
#@ c-!eD!CIID9`Y<+#k|Z?cLK;@iYN:@(6siC%<XeDw*T,E26sJD8uJTA&dreDy.jY?&jmMCcX
#@ KV@6>d#H9MfCFnT_5Byh&7A(uJTA&dreD_emX?/G#D+#k|Z?#@MkBn|7UAAC,!Fu|m*E,=^Z
#@ ?l4O:@26sJD7G#%G^:K;@vk>r@j_W:@[email protected]#x6x?4<nlB)Xu<@26sJD9MfCF`
#@ *7hCiRo;@1ZbDFpPk_F6?0bE9MfCF`*7hCo-DTA:<ylB'<XeD3uJTAogwhCi.aY?n?VTAmuA
#@ CF'`1vH9MfCFjoreDaF#4Bw3=JDdU,[email protected]?rcmlBtQi'F6XcSA9MfCF
#@ koW/Dv(cSAk&TbErimlB;+ME+#k|Z?ridlBuo/CF?F,!FiF'7AjF'7Ad_`p@w7DkB'L-<@26
#@ sJD9MfCFj&oCF!|G)F,BnlBl+ap@w7DkB'L-<@26sJD9MfCFm&sJDv.DTA'.lSA9MfCF_OK;
#@ @3pmID9MfCFjT*+Eu/4iC>uJTA-Kt_F#qKV@#URdD4<XeDAO,!FtTRdD2*v/DtO+Y?t!MkB6
#@ ?0bE8uJTA*'BfD):VgCtZACF3+uD+#k|Z?t!MkB6?0bEp)0bErEV5B9MfCFc@O:@ks6hCrZm
#@ ID9uJTA!vlr@m|NaEu8o(Fv_KZ?:p2TA9MfCF0AccE9,_`F7%,!Fw-0CF#@^Z?4VV`F2%,!F
#@ w-0CF#@^Z?t0H8A9MfCFwE0bEhOfq@%<XeD'B>cE+-ylBTNN9w6dV<+*Q*+EtsY8Av^,8Ac-
#@ !eD!CIID7O,!Fh=ap@!:[email protected]?+kOT?39@ke0C!7)2FvV<+/&I;@+dmI
#@ DvWSkBXe`p@vkT;@2(ME+#k|Z?'NB,Ef%+Y?!>=Y?r^oRA#NfCF*FV5Bh'T9,#7CK0<ULG1M
#@ QE&36dV<+*Q*+EtsY8Av^,8Ac-!eD!CIID'i#j@waACF!K#gDum54Bd<`aECvO?+G`4*C%%6
#@ SAnqa/0A:YJ0^%::+Cij`CsT2TA'iUHB^b*Y?w3F/Ds/B(F9MfCFoo<JDl-%lBtu`aE8oU`F
#@ &NfCFm<n9,0LGJ0B*!&3o^09wLdV<+%6|,EwAoC+gLoRAkoW/DmgY8A8uJTA#4MTAe7#4Bw3
#@ =JDdU,W@slSCFh'T9,#7CK0C6*`2I!qG16dV<+*Q*+EtsY8Av^,8Ac-!eD!CIID7O,!Fh=ap
#@ @<>;EFo0^Z?t!MkB6?0bEp`R.D*LhgC9MfCF&B4iCf4oRAg-DTA//;EFuiNaE/5M&GJCO?+-
#@ up`:>apb1>Lke0B-7c1IvV<+/&I;@+dmIDvWSkBXe`p@vkT;@2(ME+#k|Z?v84Y?mZ!iCuJo
#@ (Fr-ur@|'T9,o`5eC-fq`:>apb1>Lke0;Rte0KvV<+/&I;@+dmIDvWSkBXe`p@vkT;@2(ME+
#@ #k|Z?49BCFf?<eDu-DTA+R.lBR4O?+&6|K8IqE?+ZF(,1AU1f0:FtJ0g(::+Cij`CsT2TA'i
#@ UHB^b*Y?w3F/Ds/B(F9MfCFcsY8A.w=JDn@T;@(a<JDm<n9,XLJ;@eNDa;!.<cEnCBq@=bl(
#@ F:qP-E10=JD0APQB,i#j@vkT;@3+G<F*%VE+7vOBD1ZB3@w=xhCagbSA6sLE+2JqcB<D/V6G
#@ >M?+#C,!FwAoC+.)QcE)+VE++R.lB2e/wE-,_`F8.G<F=D/V689o=+D'l!F5/jFE-LDTA4+d
#@ fD4H-<@&vL;@/upcB2l'+E9cT,E(mACF7d.F+nq_K8O;Q>+u!V<+:tJTA2WSkBXe`p@vkT;@
#@ 2(ME+!`|fDU.fK/q#TCFt!^;@Z=fK/5<9GE@ZpT,gLoRAkoW/DmgY8A7OM9w6dV<+9MfCFv^
#@ ,8Ac-!eD!CIIDtuI9>(s<JDN/qT,gLoRAkoW/DmgY8ADwtECfR9Z?w3F/Ds/B(FR'T9,8qjI
#@ D7pDTAdG=0A/I3Z-3QiSAHabWF@+dfDt?C:@uG-@w_G8:+G8-wE1>fC+l'uSAw@VTAa^,8Ag
#@ !lr@7rtDF6T)PBDn<@wLdV<+9bN<@ktWU@&lUHB^b*Y?w3F/Ds/B(FQvV<+LdV<+*XxlBagb
#@ SAvX#SAn-!eD!CIID><N9wLdV<+9bN<@ktWU@)2RECfR9Z?5;2`FJ<N9wLdV<+9bN<@ktWU@
#@ &lUHB^b*Y?5;2`FJ<N9w6dV<+JS)!F)l#j@vkT;@2(ME+X(&0BiF'7Ao|<JDXb`p@vkT;@oO
#@ s#?QvV<+LdV<+;1^aE9C.F+3aMTA3osdD!CIIDi`AbEy=f)46dV<+O*S9,5SPcE<sbWF2NfC
#@ F5JTfDt1ap@vkT;@9dM9wLdV<+:tJTA>JklB#FV5BkoW/DmgY8A'w/gC%.(w?QvV<+LdV<+9
#@ 1VE+fCT7Ab+ap@vkT;@2(ME+&^,8Ac-!eD!CIIDTXO?+1D3w<rEMTA4Pt!H>%lDF)v#D+t!M
#@ kB6?0bEjX;:+LdV<+4P5cE^IbOB,oW/DmgY8A8%tdD!CIID?BxQH6dV<+LdV<+1=,D+/w/gC
#@ #O`aE:_eK/nUsp@B^vIB^b*Y?w3F/Ds/B(F8%tdD!CIIDA-4s4wp=>+Z5>F+%6|,EwAoC+fC
#@ T7Ab+ap@vkT;@2(ME+0oW/DmgY8AH#sJD8o(`FI!P@wLdV<+LdV<+1D3w<uYtfA.6seD-FV5
#@ B72OeD2;oC+&XhTA--R;@&upcBD+dfD?DylB#Li'F&?s+E5#V<+LdV<+1A`nAtuCTA,rP-EC
#@ 6hs-.9BfDKk;IE=Jd#HG/uDF15.>HBn)dE6D@tH9_dtHDji;.REtU,F1Ej@vkT;@qM/C+3ws
#@ JD8o(`FI!P@wLdV<+LdV<+1D3w<rEMTA,oW/DmgY8A3)^aE)5:JD5JTfDELME+)jACFvIB9G
#@ ,CH8AJxV`F*;.#H3`IIDD+dfDv^,8A&#V<+LdV<+1A`nA/62gC#O`aE:_eK/nUsp@EwtECfR
#@ 9Z?w3F/Ds/B(F8%tdD!CIIDA-4s4wp=>+Z5>F+,#cDF)m_TAYwO@wLdV<+LdV<+sB-<@I(RK
#@ D91VE+k'?<@P4,*46dV<+LdV<+2SPcE:a,!F*u>0Ap*ljB'!J9>lj|Z?epCkBc_!Y?w3F/Ds
#@ /B(F8AmRAv=xhC<1Ej@vkT;@7Bc'46dV<+LdV<+4P5cE^IbOB5JTfDK&qT,k'?<@=3'!.5;2
#@ `FNAV=+<#V<+LdV<+LdV<+uTdQ6vkT;@2(ME+8IG<FrEMTA5JTfDELME+i=|7AE?)E+-1VE+
#@ sI=*:jvV<+LdV<+LdV<+7pZQH'&5)Fh!cr@<w#MA(aSCF6PWSAhU#4B>)6-E@Uy-B>)6-Eou
#@ 'x4d3:3I:,veD13=JD_v26I6dV<+LdV<+O*S9,lWPaD!CIID-JqcB7APQB*V#D+5;2`F=n3'
#@ D4+4'Ds=#D+>xG<FSQ+)<ufY8AvIB9G0LVE+85VHBt(#D+&CR.D6dV<+LdV<+@NncBC5>F+l
#@ ZMTAk'cOBLH&yG%+VE+&^,8Aj`AbE?8Z)F?X?bE)qX#?2n_?+d@PF+<#V<+LdV<+LdV<+/&I
#@ ;@+dmIDvWSkBh?mIDkoW/DmgY8A<1Ej@vkT;@9dM9wLdV<+LdV<+LdV<+gIa:@G2::+LdV<+
#@ LdV<+>N<:+LdV<+LdV<+R*r<+sNVE+7pDTAEC_vHdG=0A/I3Z-@%W_F8u>0A8APQBL4,g/y#
#@ ocB)CQC+w3F/Du@oC+k^YSA=k2DF2TVkB'Xg:@NKLBD2>#D+*Ma6wLdV<+LdV<+R<n9,*Q*+
#@ EtsY8Av^,8AcF'Z?w3F/Ds/B(F8%tdD!CIID*k84wLdV<+LdV<+R<n9,%6|,EwAoC+w3F/D_
#@ %vY?5;2`FEmy-B>)6-EG0Ej@vkT;@9dM9wLdV<+LdV<+R<n9,%6|,EwAoC+5;2`Fn-!eD!CI
#@ ID5IEj@vkT;@=iU=+E_BbEI=j;IQvV<+LdV<+>wO@wLdV<+LdV<+4=,D+-'5)Fh!cr@2:`0A
#@ p*ljB;h..B>)6-E|0gN.`Q.lB)K0q>2n_?+d@PF+<#V<+LdV<+O!r<+*Q*+EtsY8A7)v/Dj7
#@ =Y?w3F/Ds/B(F8%tdD!CIID@2::+LdV<+O*S9,>#V<+LdV<+>#V<+LdV<+91VE+fCT7Ab+ap
#@ @vkT;@2(ME+!`|fDU.fK/q#TCFt!^;@Z=fK/5<9GE@ZpT,fCT7Ab+ap@vkT;@oOs#?QvV<+L
#@ dV<+IOMEF%gM0C3ntD+!`|fDU.fK/q#TCFt!^;@QAqT,+R.lBdk`p@vkT;@oOs#?imE#?QvV
#@ <+LdV<+w^DTAn7>D+w3F/Du@oC+&^,8AcF'Z?w3F/Ds/B(F<H<:+LdV<+G8-wEIXME+4@>D+
#@ @eTfD,1Ej@vkT;@:jZQH%N|(Fb)!=InFK9wLdV<+>wO@wLdV<+(I8:+O*S9,lWPaDJjG<Fvl
#@ UHBsH2TA5cir@7rtDF9mtD+.5#D+0+B@+r0H8A-GqcB2!WTA!Si|D%osdD8B=JD0APQB.h;_
#@ FC+j|D/APQB(cir@7rtDF6sLE+sEuSA6dV<+R*r<+3qi|D#.MkB(JbD+)^xlB2ZB3@D7W)FI
#@ %ShA)Fwx?)^xlBS5;606!yhC#7HSA?l3r@Mf!;G,XVTA!*v/Dj7=Y?,#cDFL>)p/o3a>wLdV
#@ <+#p`N77GqcB.R?<@.5qcBgF5SAOnsdD>SVHBsH2TAt!lr@7rtDF4GTfD6APQB*),QB0vgq@
#@ untfA'A#D+qKDTA4S.#H6dV<+R*r<+iBDE+jsGSAAP;EF3S#[email protected]+!*9,E!s
#@ i'F&?s+E=APQB5-A6B1APQB>*k#H(p<JD,#V<+D-<cE6CC9G,XVTAe97hCs^KZ?)^xlBLExQ
#@ Hm'cSAnjkSADn<@wLdV<+1D3w<17ME+=XG<F..G<FvlUHBsH2TAt!lr@7rtDF>Q%hC4x;:+L
#@ dV<+9MfCF&97hCs^KZ?)^xlB!Y|mA'A#D+cKxhC+D^eD=upT,-#l#H8&Z)F5mNaE?OVE+>@+
#@ ^GG:wLD(iSCF?DylBwU/CF%s<JDe-!eD7u(yG1ZbcE&KaiC%<XeD'B>cEq^TZ?YSHO04O'q@
#@ Os`'46dV<+O*S9,lWNeD<[email protected]+!*9,E7L,!F&?s+EB^vIBsH2TAt!lr@7rtDFgKxhC5+
#@ dfD5VAkBmX|;@iapHDSFK9wLdV<+1A`nAlQCkB#QYKA@/;EFA^vIBsH2TAt!lr@7rtDFgKxh
#@ C*UZQH6dV<+LdV<+1=,D+)C6mA'A#D+:p2TA3><SA+30bEt/saEw7^Z?m'cSAnosZ49tvlB=
#@ Tk<+Dn<@wLdV<+LdV<+:tJTA.3<SA+30bEoo<JDnB6mA'A#D+i%97A&jmMC3><SA+30bEt/s
#@ aEw7^Z?m'cSAsB;:+LdV<+LdV<+9MfCF>._vHmvO:@g^CkBnKI9>(KxhC+D^eDtfI9>m'cSA
#@ ,&TbEnjkSA3><SA+30bEt/saEw7^Z?m'cSA+^vIBsH2TAs-%lB#p*p>6dV<+LdV<+@NncBiB
#@ 6mA'A#D+1lo(FM/qT,<GV*FfgggCgKxhC*UZQH6dV<+LdV<+LdV<+-l?q@;f:s+/5T,E>),Q
#@ B0vgq@-:,!F&?s+E=APQB5-A6B*ovlB?lpT,<GV*FfgggCgKxhC5+dfD5VAkBmX|;@iapHDS
#@ FK9wLdV<+LdV<+LdV<+m'cSA/5T,EN->hA!bfRA>4,QB0vgq@_@|mA'A#D+,KxhCn<..DA2:
#@ :+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+?<rKA'q(E+`@D7@kR|7A9mtD+#!JlB2e/wE
#@ 5QelBrIbD+)^xlBExG<F6;2`F(Dm`C/kYD+B._vHmvO:@g^CkB2.G<F;LAgAuWmIDyb.y>be
#@ G!46dV<+LdV<+TX93I6dV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+m'cSA/5T,EG^vIBsH2T
#@ At!lr@7rtDFgKxhC1LAgAuWmID;8W(46dV<+LdV<+O*S9,lWNeDqQdQ6%+HSAs2<SA+30bE5
#@ /saE:RVE+*97hCs^KZ?)^xlB!Y|mA'A#D+.c:s+QvV<+LdV<+>wO@wLdV<+LdV<++H#j@u<c
#@ 8A8<R)25VAkBmX|;@9gM9wLdV<+T@PF+!xMTA<H<:+LdV<+O*S9,lWNeD!*B08sH2TA5cir@
#@ 7rtDF3><SA+30bEt/saEw7^Z?m'cSA%*)TA=y-fD>v&yG;J)*F.c:s+QvV<+LdV<+>#V<+Ld
#@ V<+91VE+kTI9>*dmID5VAkBmX|;@u,B3@DNM9wLdV<+JJHwEIXME+4@>D+12<SA+30bE5/sa
#@ E:RVE+*97hCs^KZ?)^xlB!Y|mA'A#D+.c:s+QvV<+LdV<+DP)*F4M&PB.AnHDSFK9wLdV<+.
#@ ,3SAsp,<@m=>OB^b*Y?)^xlBJ2qT,5pM9wLdV<+1SvhC4V#D+O4r(46dV<+(2;4wLdV<+MA-
#@ wEw42TAu)B3@rQ>SA/@G<F*%VE+wcir@7rtDF>6ME+B=uD++0elB*.G<F!c:-Bv-0bEkj|7A
#@ )<..D!:p;@ix;:+G8-wE1>fC+/'O+Ec%vY?njkSAt=sp@yCbOBuIYD+=lkcE1ZbDFnjkSA7Y
#@ b@GnjkSA)<..D!:p;@dX|;@<gRF+<#V<+LdV<+7pZQH3(G9>m'cSA32=mBM2qT,4;QcE-cKK
#@ D|kIv?Z_xQH6dV<+LdV<+6_PcEEo:s+ekTfDT-sfAh'cSA4+dfD*|<JDuJAkB7ZpT,4;QcE-
#@ cKKDvVY%,QvV<+LdV<+>#V<+LdV<+91VE+*ntD+lQ2TA71Ej@6gDTA)1^;@:mZQHT8d'46dV
#@ <+@NncBC5>F+wB6mA'A#D+i%97AagbSA>xl^F/jmID(=..D,g;3I<H<:+LdV<+<*WKA=u5cE
#@ p/_^EZ.|c3(KxhC.1Ej@6gDTA)1^;@(upcB=y-fD8oATAUeWU@P6J!46dV<+TX93I6dV<+JS
#@ )!F,2ufAEaVE+lQ2TAB?pBD#:^;@:mZQHY8d'46dV<+G8-wEIXME+;k1`FAx+dBC5>F+S:|;
#@ @-T#-Ek%#D+4;QcEpja|>RqtW4S*Yd3iW3LDs2K(Ff.fRAnjkSACLRF+Z5>F+0lTfD.ao-<1
#@ 7ME+)^xlB--eT>cKxhC'W<<@sidT>e<;oA2cir@7rtDF?ZL!?72OeD2;oC+>OVE+'%,!F1^c
#@ r@oD>0ApxBq@NXf<IqR1(,QvV<+LdV<+u5T,EELAgA=upT,6MMEFQvV<+LdV<+)lP)F1LAgA
#@ >-N9wLdV<+1SvhC4V#D+;k1`FP2::+LdV<+R*r<+8ETfDELMEF.0?SA(KxhC)5:JDi9MTAv-
#@ 7hC+Y#D+i<xhC4#V<+LdV<+)lP)Fq>+dD0btD+;kkcE1ZbDFnjkSAy#U<IR'T9,KE`cEt1O:
#@ @#dK?wLdV<+1JAkBvH>0Av9fCF:->hA!bfRA>xl^F/jmID(=..DA2::+TX93I_G8:+G8-wE1
#@ >fC+lj|Z?i3hgC@|o(F4PZ-EJ)!=I&,;4wLdV<+5(^aE9C.F+3aMTA--3SAomHID1SV*F'*0
#@ CFVAW(46dV<+IJcZEq&TbE)+VE+C,aaEegpgC7Ci)F1U_|3.9BfD0wj'FdX|;@5cP)FY2::+
#@ LdV<+9MfCF8uhoA'A#D+vHFiCC2qT,Ir:s+k/=p>QvV<+LdV<+3aMTAFCi)Fm<n9,.&sJDKw
#@ OBDp%oC+)4<:+LdV<+R*r<+8#;EF4P5cE8DsJD,W_g9SEL:@&BB3@%WtfAs=#D+)4<:+LdV<
#@ +9MfCF)g0Z?!=mID#6XeDxL>nAmX|7Aoo<JDn#/gC#O`aE1cpT,,FDkB9mZQHrB,!FdX|;@7
#@ t(E+epCkB%/5_+AOM9wLdV<+1A`nAVFqT,'ji'FdX|;@!Y0Z?epCkBc_!Y?u3uSA2n_?+d@P
#@ F+<#V<+LdV<+R<n9,DP)*FISu:6e/9KDE>Nb32TVkB)plRA)x*JD>6ME+EK,T/Ludh9Nx1mB
#@ +otfAs=#D+.5#D+!=mID#6XeD*<;oA'%U;@26sJDY2::+LdV<+JS)!F8IfZE&LxhCn#/gC+s
#@ EiCk%#D+<uhoA'A#D+-g0Z?!=mID#6XeDxL>nAmX|7Aoo<JD9?pBDq!lr@si`aEh7'7Ao|<J
#@ Da^UgC)G#D+cYeRAvXxlBY|%I0xU(mB4xH<@n!lr@si`aE.>qcBwLpp@0dhTAh_xQHV<mID#
#@ [email protected])F4^'QAFI2E+T0H8AT+`:@eF5SAb;gAG)aw%?QvV<+LdV<+>#V<+LdV<+Rn_
#@ WF9.G<F-%,!FdX|;@5cP)F5Go(F52w(F1UtD+mxoRA<5:JD1KB3@.)^aE9C.F+&K>0AbwS=w
#@ LdV<+:[email protected]/b1::+LdV<+9MfCF0r3iC=haH0QvV<+LdV<+w^DTAn7>D+u3uSAKN
#@ i)Fm'cSA<H<:+LdV<+@NncBC5>F+f*Z4B:R2E+y|Ho@si`aE!sdT>-N^6Aa*=a3D@Bn-%>y4
#@ .>6ME+-`SCF8J@tHh7sp@0dhTARbe4I;%#lB-D3'Dm-cOB1`xIDBq?KDuC#D+nimlB%Q/C+Z
#@ 5>F+J&1'D+vZD+2'aaE6fAoA(dfRAvXxlB0#V<+LdV<+LdV<+91VE+;2dU,'=..DIOnT,0Tb
#@ DFQvV<+LdV<+>wO@wLdV<+LdV<+7pZQH3(G9>wm<eD32=mBGXME+'=..D,g;3I<H<:+LdV<+
#@ LdV<+-#sJD8o(`FA2::+LdV<+TX93I6dV<+LdV<+R*r<+:A.#H-)fCF,o/bE!B`nA/-^;@'l
#@ UHB#`|N@%btD+epCkBc^m=wLdV<+LdV<+7pZQH*Wpp@0dhTA1k_?++e|;@.&l%GLr<3I<H<:
#@ +LdV<+LdV<+-#sJD8o(`FZ'T9,BXpJDBF0wE!YfRA4WB3@.&l%G(cir@si`aEv-L?wLdV<+L
#@ dV<+>#V<+LdV<+:tJTA03x;@@5dU,0TbDFWkM!FnjkSA;H8:+LdV<+O*S9,1,3SA=APQB9he
#@ V6&|ACFv#V<+LdV<+1A`nA88J9>pR5SAP9xQHwjyW.I3hgC@|o(F*DY-E+jyW.2bL'FwyTo.
#@ aLqT,u3uSA/#BCFl#aQ?i3hgC@|o(F4PZ-E,g;3I<H<:+LdV<+LdV<+9MfCFrK|;@9QelB7D
#@ !wHC5dU,'=..DIOnT,yL|;@9QelB7D!wHR.O?+3aMTA!.(:@,o-#H&qTZ?:p2TA6dV<+LdV<
#@ +:mui@26nlBPPW(46dV<+LdV<+>N<:+LdV<+>N<:+LdV<+R*r<+wAoC+2b/wE'<elB64G<F,
#@ qeZE.o5cE5#V<+LdV<+3aMTAFCi)Fm'cSAQvV<+LdV<+82_`F(gI9>sB-<@2-3SA0ZFID1SV
#@ *F'*0CF#uIv?QvV<+>wO@w6dV<+R*r<+e;o(F%a#SA8XG<F#dZRA;eb@G;5DEFu:a6wLdV<+
#@ ,CHr@-)fCFgdfRAvXxlB%*T,E26sJDP5>F+!=mID#6XeDRAPF+<#V<+LdV<+7pZQHu;!iC&K
#@ #DFAlM!FdX|;@5cP)FnosZ4d3:3I<H<:+LdV<+IJcZE8JlcEvw/gC%.(w?QvV<+LdV<+>#V<
#@ +LdV<+OScZEB7fZE.o5cE!vlr@si`aEsERdD4<XeDBXG<F(-3SA=APQB1kQ,E26sJD0KhgC:
#@ +ME+4CDE+ridlB(&oC+!=mID#6XeD4#V<+LdV<+qd1kB&mSCFF4O<@ktWU@0qeZEFf:s+mgB
#@ TA=;2EFgdfRAvXxlB%*T,E26sJDUMJvFdX|;@5cP)FruwlBM2qT,!=mID#6XeDO(0!,QvV<+
#@ LdV<+sB-<@I(RKD91VE+!=mID#6XeD*/uDF1oG)FQvV<+LdV<+91VE+2aDoA'A#D+vHFiCC2
#@ qT,A=)E+0XU&,Ob`WF2NfCFAq|fDQvV<+LdV<+91VE+2'aaE/!J9>rLa:@*8>D+3N!iCF@BT
#@ A,rP-EKNi)FvLxhC+f*@?V<mID#6XeD@'/=wLdV<+:tJTAHR8EF2G)*FkWmIDu;!iC&K#DFA
#@ lM!F3f1EF7OM9wLdV<+/&I;@+dmID8R8EF2G)*F%)(yG*sI9>#XmID0k>D+Eq|fDm'cSASVa
#@ +192::+LdV<+4P5cE@2NTAN##F+d@PF+Y/#F+jkM!F3f1EFp^bSAS`xQHw!saE`|(d0Dn<@w
#@ LdV<+LdV<+91VE+r#/gCmxoRAQlM!F3f1EFQD+UG92::+LdV<+JS)!F9R,!FdX|;@!Y0Z?nj
#@ kSAruwlB'E(yGKNi)FvLxhC4g=dB`Qa'46dV<+LdV<+4P5cEPf,!F0V7*Ce6:3IVFqT,|cU?
#@ +i@PF+?5:JD,P7*Ce6:3I<H<:+LdV<+LdV<+*Q*+EtsY8A(dfRAvXxlB%*T,E26sJDT/N!Fd
#@ X|;@!Y0Z?njkSA2#/gCmxoRAPc2xE):DkBnKI9>78?cEO7pq.-_/C+*3I9>t*Z8AC>qT,2aD
#@ oA'A#D+uqP-EAg=dB8Wtv?aTa'46dV<+LdV<+>N<:+LdV<+>N<:+LdV<+8JfCFB4uD+mSPcE
#@ .J;-B9R,!FdX|;@!Y0Z?4<nlB%ns#?QvV<+>wO@wu!V<+D-<cE2t+!F?u>cEv:UZ?4b5D+H%
#@ <)F`C,8ARAPF+<#V<+LdV<+sB-<@I(RKD91VE+@r|,E5ot)F0dM9wLdV<+7+:eDhqrp@5%<)
#@ F`C,8AF0<)F`C,8A:n<@wLdV<+LdV<+91VE+@r|,E5ot)F72dU,sF=Y?8iU=+h)fCFq2K(Fm
#@ F5SAi<;oAJc6bC+T5DFF0<)F`C,8A92::+LdV<+JS)!FrBq-DwL6<@aFYjB0k(E+<1oC+8o.
#@ 6Bn1oC+<f!/DPR(d0QvV<+LdV<+>#V<+LdV<+82_`F(gI9>sB-<@2-3SAIxS`F/aDTA'h|7A
#@ 92::+TX93I6dV<+>eTfD3(RKD@r|,E5ot)Fso.6BJ04'DoLYjBe-%lBQW<3I<H<:+LdV<+2S
#@ PcE:a,!F9R,!F?u>cE(pMTA76U'DoLYjBe-%lBdxO?+XOXQH/1oC+,l:EFoKhgC0upcBs&I;
#@ @4?fwGtf_TA!bSh/>N<:+LdV<+4P5cE^IbOB4o.6Bn1oC+mSPcE54OBDs2K(FE46-E2BK(F)
#@ &oC+wn<@wLdV<+LdV<+#k|Z?0ot)FYk*Y?wm<eD<V*8AF0<)F`C,8AD@#lB7=VE+I46-E2BK
#@ (F1nHt-8o.6Bv.d:.QvV<+LdV<+>#V<+>wO@wu!V<+r'T9,8qjIDO&k)<iV'<wu!V<+/2RB;
#@ 8JlcEAF`cE0upcB:UJ`F+!=JD);?CF'/#LDCqm#HV5`WF*0K(F<APQB)cN<@ktWU@M!rZ39W
#@ _g9V?l#F<5H-E&tLE+<O,!F,2ufArZMTA9*S9,!*-NB*WB3@6;hAGxos_<9GK(F*FV5BE?)E
#@ +wR.lB8U/*F)#ZKA730bElj>r@!ZFIDsGegC=PD*F|;DB+8#;EF+S5D+CC,!F.IC9GiabOB,
#@ oW/DmgY8A4#V<+T+A9G%)^aEnWg:@wp<JD7+dfD%ZACFpG#j@0gDTA4S.#H18-wE1>fC+?Ah
#@ AG!X&(FZSSF+<#V<+9bN<@ktWU@1%,!F<5H-EAh+*46dV<+1=,D+TlM!F<5H-E&tLE+Dn<@w
#@ LdV<+R<n9,DP)*FEFr:6Moe^FVM*!F.HnlB5+,!F<5H-E(1.',QvV<+LdV<+qd1kB&mSCFF4
#@ O<@[email protected]<FE9xQH91VE+?AhAG!X&(Fh6bOB_.aY?jFfRA3V#D+!i0fDGhV*FrK6hCk9MT
#@ Ar10+43aMTABn-0DL2::+TX93I6dV<+1=,D+M(@KD3j2TA><SF+<#V<+LdV<+DP)*Ft0oFD,
#@ Qs/D%<XeD>54w<17ME+)^xlB:MqcBGUJ`F+!=JD);?CFkKo|;>WT,ELgME+-XVTA(LdQ6ex,
#@ J0iy1'DwL6<@,+ME+0g!iC>%lDF)v#D+@r|,E5ot)FNX1+46dV<+IJcZE8JlcEqj%#46dV<+
#@ >N<:+IJcZE8JlcEpdqv4>X93I5?!
#@ x
#@ 4
#@ ,CHr@
#@ x
#@ 20
#@ >c5DFn|mlB#FV5Bl#sJD=AhEF
#@ x
#@ 6
#@ 'E%6B_-v
#@ p
#@ 287 0 4001 190 4 2 1532 2 13 287 327 -1 -1
#@ 4001
#@ )Nr<!JAe`B8F=B(v*<<!&<`W!*TA9v2fSs!8BW<!.Qr<!4`8s!5v!!!0!!!!,|/=!D59s!5v
#@ !!!0!!!!.iA=!F59s!5v!!!0!!!!0uS=!F59s!5v!!!0!!!!1&|=!F59s!5v!!!0!!!!2,f=
#@ !F59s!B:3-&3f=6#/HW<!Kqgqv<vqqv;N2,!B=Mw!#0E<!I^w!!5|!!!8=e`'Cn:3w9cJs!D
#@ 59s!5v!!!1!!!!A:@rv3K+;v8^w!!9i!!!0/>:v:/C=!YFRrvJSa<!RN2,!0&Tv!#0E<!|I`
#@ H&;tMH&y,@<*9oSs!IeTs!>hk>!T5,Uv.Z/s!_G<9+9oSs!8^w!!.H!!!.8UNwOPe`B8F=B(
#@ v*<<!R`VT+K_s<!Nvg9vPSe`BCTho+v*<<!@_>t!XaDbB/JHK%v*<<!l7ol,xSa<!RN2,!O6
#@ n%!w6N<!RTpmw<Y`U4uDON-pGji-m&3,!/uJv!#0E<!dg4+'bsB?)8^w!!Vm(!!1SQK%knEI
#@ '5v!!!-!!!!uHU-KuDON-pGji-v9SQ,Zks<!oSa<!b.%fB.A-0%v*<<!^-`qv7>,>!e-96w2
#@ NW<!V`8s!Z2!s*9f/X!-BW<!h?T6wS#/p+|_w!!G?%!!NSKK.,6L=!.assvo2o<v&9xm?5v!
#@ !!8!!!!O0n@!UBE<!.pftvAJfs!5v!!!e!!!!R|,7wvdYa15v!!!.!!!!YG@L&<tMH&y,@<*
#@ ;uSs!ZCUs!>hk>!VA>Uv.Z/s!_G<9+;uSs!bsB?)bsB?)8^w!!mx#!!0/>:v/k*gB0Scf%v*
#@ <<!Y4t<!RYe`B0Scf%v*<<!Z7t<!@ZW<!F^w!!1Q!!!UC%'1Mv!!!Y`aA!<EQuvMSa<!RN2,
#@ !6J5#!#0E<!)oq8wdPcuvDy,t!5v!!!6!!!!@+;?!gfi<!t:iH&DN2,!4>##!#0E<!q;T=!u
#@ 4`H&J^a|(tcor3BC+'(2iSs!k=S9w9Z/s!5v!!!6!!!!@+;?!gfi<!w;iH&FN2,!.oAv!#0E
#@ <!OtPt!5v!!!X!!!!9)aNJw<rr!!xw!*Kkmo4:iSs!uDON-pGji-l#3,!.oAv!#0E<!38Gq+
#@ C1_u!5v!!!4!!!!f+89wmb)!#Eh>t!Qp31%gd(v!@GKs!.EW<!QA|=!m`8s!Qp31%lwVs!Gd
#@ `5w&b4:wl:`xv0`8s!Qp31%o-Vs!Gd`5w%P8v#@^a|(*rEK71Saf7B5Ts!r3Ms!AGe`BI5ai
#@ -v*<<!K(r9-^d`5ww;*D!1/mC!u;5pvrX8yvO#/p+C1_u!Qp31%u?Vs!+Ki<!wtnv#-|yTve
#@ |%*9!7u<!BJe`B.B6K@v*<<!@yq9-:Ki<!hYWD!;,VqvO)8p+&_w!!4Z!!!(WyTvii%*9K!o
#@ .&8^w!!Qyv!!=I/.&3v!!!5^w!!?&v!!txp#;E'8?;F0SZ;G9nu;AmF)!=x4F'IN2,!@1;w!
#@ #0E<!5_w!!1Q!!!w4NW<p!!!!;.WH&JN2,!-c&v!,,:3wX7ut!d!!!!eC#!!Ha.%!'-)%C9O
#@ X|(v*<<!@yq9-86I<w`r%p+C1_u!5v!!!,#!!!>CW1%rlVbB-8giwv*<<!EQ*A-qB!t+tlA7
#@ -|iYmwuDON-pGji-Jh4,!aMg'!hG307v*<<!*VMT=C+aZ)9s+6>HQGQ>5)5Uvp5o<vujZRI#
#@ 3WW!?v87w4=+3?S<0U#5FFN?9RuQ65v!!!b!!!!rP%R5954,!P<v&!gA*07#3WW!1@ai?C+a
#@ Z)9s+6>HQGQ>5)5Uvp5o<vujZRI#3WW!KSa<!KHw0@>w^f@PD!-A>WSpv8^w!!<r!!!?'w!*
#@ g-xUvTaFC(<<Ns!RZg((8^w!!4Z!!!6,8EBVtS`BRiH_(8^w!!B/v!!74JE'BYq9-F^w!!.H
#@ !!!8>n&Cyxn<vS7f`BBKMT+v*<<!?y>t!Wx;bB.A-0%v*<<!M,Gf(bsB?)8^w!!2T!!!:PO|
#@ CBK<X!O2X<!TjqG!8`8s!5v!!!2!!!!;chG!T/WH&Xh0?DH/YNw.EW<!F^w!!-E!!!>tfuDr
#@ Zs&#E7HWEKJ9X!J@:bB/JHK%v*<<!Lv!!!ae0'#Ah0?D|3(%).EW<!Mk9'#@h0?D`BC@).EW
#@ <!NqB'#Hx_oFVtS`BH*(((7on9vG;O8sKEC?)8^w!!9jw!!74JE'BYq9-=_w!!.H!!!8vmjw
#@ _+f`B4v&*'v*<<!U^+((LlNs!a1f`BBKMT+v*<<!?y>t!Wx;bB.A-0%v*<<!M,Gf(bsB?)L.
#@ ??wDZ/s!c3,((=93X!My|X!Qsm<v@5X<!f8hqv:N2,!.oAv!w6N<!kmqu!<a46#)!!!!M9,J
#@ &/i-(!f8di6v*<<!NWnovwaw!!.H!!!.8UNwhFf`Bcuw36v*<<!;8uW,!ZO3-yy4I!c&.4wA
#@ T`<!R)usvo2o<vtSbsvp5o<v+kJL&5v!!!.!!!!YG@L&gSa<!KHw0@>w^f@PD!-A>WSpv8^w
#@ !!0N!!!Asaa'+b#;+8^w!!PYv!!0/>:vJ(d>!HJ.rv?^a|(_Q=NHG;0X!Zu(O%Qp31%h<fa'
#@ 1fSs!8^w!!.H!!!.8UNwkOf`BBKMT+v*<<!tGt/IDx;bB.A-0%v*<<!%+Zq+bsB?)8^w!!Xq
#@ v!!MK9KI,6L=!-bssvo2o<v,nJL&5v!!!.!!!!?USI&4C)bB.A-0%v*<<!&4u7,8^w!!Xqv!
#@ !NTTfI,6L=!.bssvo2o<v,nJL&5v!!!.!!!!?USI&5C)bB.A-0%v*<<!'=;S,8^w!!@)v!!K
#@ #rs*Wx;bB.A-0%v*<<!_p<**bsB?)8^w!!C3%!!+rXQ#;,tl#wagE!QA|=!lrP>!vw5pv@^a
#@ |(e26HJnSQcJoyl)Kpe2EK4G'-88&Ts!8^w!!LMv!!N>np+)c47,uDON-pGji-lwb|(iVM`K
#@ VQf6wQp31%yJXs!l0b|(kh.BL4G'-82iSs!Gd`5w&b4:wl:`xv0`8s!5v!!!;!!!!jlPT4lw
#@ b|(lqI|LPpm<vS7f`BOkYc/v*<<!>Y>t!E_6>!QA|=!v*c>!dw5pvWFw>!QA|=!w*c>!dw5p
#@ v;N2,!;hb#!,,:3w<+nmwQp31%byXs!bsB?)Gd`5wmWr)#;N2,!sef2!,,:3wf-m>!e-96w9
#@ Nm<wC'D^vTGF3-qB!t+uuyR-LQf,J5v!!!Ev!!!>CW1%rlVbB-8giwv*<<!EQ*A-qB!t+tlA
#@ 7-|iYmwuDON-pGji-BQc|(rRBWN&t|rN2iSs!Gd`5wt8PBw9Nm<wC'D^v2`8s!Qp31%gkXs!
#@ Gd`5wmWr)#x^H>!QA|=!ps&=!GrP>!80GpvKSa<!RN2,!w2?3!#0E<!:cW<!2>77wwNtsvo2
#@ o<v%/77w%Qtsvo2o<vq/0%!c??nBD|.6,v*<<!xXr9-J^w!!2T!!!Asaa'gb4X!fgn<v@5X<
#@ !QA|=!lrP>!nw5pv@^a|(e26HJ,UVlP-^q2Q.g7NQ+L;QP8&Ts!Gd`5w#E,+#@^a|(&N.36q
#@ 5IN62iSs!8^w!!;o!!!-iA=!<;U=!QA|=!;%/p+C1_u!5v!!!O!!!!<7E1%Gjfg&Qp31%v!H
#@ C(_POs!y2_0&Qp31%w'HC(_POs!AGe`B;a9?)v*<<!:AV9-Pd`5w%Q>+#KSa<!W^a|(qI'<N
#@ AGe`Bsy6ZVv*<<!oF|a(y,@<*9s+6>HQGQ>3lSs!uDON-pGji-H!!!!`_w!!E9%!!1SQK%kn
#@ EI'5v!!!-!!!!uHU-KuDON-pGji-v9SQ,Zks<!oSa<!R>2B!QA|=!%sP>!uw5pv@^a|(td#9
#@ O9s+6>HQGQ>4oSs!Gd`5wqoA*#@^a|(qI'<N`V!I'Qp31%pU?7#G.ea'3-/UvPpm<vb`n<vS
#@ 7f`By0B<3v*<<!:M>t!*W5S->&6W`Qp31%r7Ys!Gd`5w(cY+#-|yTvr#KcS.EW<!tLED!)`w
#@ !!?&v!!txp#;+.7?;-@mu;,7RZ;'tE)!/2bpv.|yTvcLMcS^HfI&BJe`B=qfuDv*<<!_j8U-
#@ pBC#qQp31%i9!w;J>-ET4G'-84oSs!Gd`5w,&),#@^a|(1|b&USf3n,oWWZ;j|,'(4G'-86u
#@ Ss!Gd`5w/8D,#@^a|(4#_#VSf3n,r`WZ;Q(AZV4G'-86uSs!Gd`5wmWr)#;N2,!Xmp/!,,:3
#@ wV#1%!KvbI!QA|=!*5m8%gXN5w&wBdv2`8s!5v!!!.v!!!;x6U5_Sd|(0TG`T.EW<!QA|=!&
#@ A*9%AZ|M!R18@!WqP>!r<Ypv@^a|(3oC|U.EW<!QA|=!)A*9%Dl#N!R18@!>sP>!r<Ypv@^a
#@ |(qI'<NPpm<vb`n<v!lB'DQp31%i9!w;S:v<WQ`3n,W|ba'!Xd6#.EW<!QA|=!wb8s!Qp31%
#@ o|ru;MY)BURc3n,W|ba'!Xd6#.EW<!QA|=!'b8s!Qp31%rfru;Pt%?VRc3n,>iga'!Xd6#.E
#@ W<!QA|=!/%/p+8Li<!vib+#-|yTvcLMcS5v!!!?!!!!ujrD!+q&E!-(9E!,v0E!&e#!!3,o9
#@ v+Ki<!l8%DwZ:_qvA^a|(9P<WWP|3n,t`<?;MY)BURc3n,#wsu;j|,'(4G'-8:,Ts!G;O8sH
#@ KC?)8^w!!B0%!!+rXQ#;,tl#r6(E!QA|=!/b8s!Qp31%.kYs!Gd`5w9tI-#@^a|(j_h&L.EW
#@ <!QA|=!G`8s!Qp31%0qYs!J@:bB/JHK%v*<<!Lv!!!2S)O!c1e-#_jZ>!F^w!!/K!!!M(Y.!
#@ +`8=!5b8s!Qp31%p6_X!mwMs!.EW<!QA|=!6b8s!5v!!!;!!!!jlPT4lwb|(lqI|LPpm<vS7
#@ f`BCTho+v*<<!>Y>t!?;U=!QA|=!V%/p+Nd`5w@I4.#;N2,!;hb#!,,:3w<+nmwQp31%byXs
#@ !bsB?)Gd`5wAO=.#@^a|(qI'<N.EW<!QA|=!;VN<!vw5pv@^a|(Gwd,y.EW<!%o:qv6d)HyA
#@ ,9X!8^w!!PYv!!;=ig%Tdi?!K:/P!pcW<!F7,Uv0Z/s!Qu&E|pE@t!:#o9vbsB?)8^w!!@)v
#@ !!0/>:vDY->!MXkpv)Cir<=u8X!bsB?)z
#@ 287
#@ 1GUm#I^&.&aBf.&BkHOwI/PQ#L_>Y!PLEL%yNb+'_Tfh%av@0%Qmyd&IxJ.&I.mOwPk17#PH
#@ H<*MI*1%K1h7#NC@n#Ypdn#M+hm#HSkpvY->a'@Jk6#Hkpm#|;8U+^VJ>*l.b7,xQBU#kLU4
#@ -`cCG'eZGe&Engm#F7WH&F@mn#O6(C(cT5/&T=78#Z|PN%sr|h%TI3L%^NGe&Y0'M%Y0'M%b
#@ K|h%iX74wZmW1%^*'M%a;W=*u;Z+'#aeJ/oy3y)TmW1%^*'M%a;W=*u;Z+'dJRc'BSkpvulL
#@ b'iGRx)^Q|M%iGRx)rGRx)XUqm#/'B+0Nngm#>/TX!|!fh%U*K.&OxW1%K=In#NwoI&Gu`!
#@ 327
#@ P*BlwP-f.&yj3PwfY!I'T*Cw)NI.S#xi-_(03K+02N3<!c*_,%Q7@Owj*#^(.F^R%Kn^R#=P
#@ N-&eA_((_TGF'U?b'(#23<#T^xS#QO`g%PXdS#sFqm#I5!g@QIxS#AbC<+ET3<!GK-%)'w*!
#@ !w(bR5gbPpv_Zph%.Stq+o#-e&H4hqvP7M7#Tk17#XmQ@DrJc3%KI3L%#w*!!-DjO6W3|.&p
#@ @*kwA&7v3!<6v3^bS:+&07y)j=<L%-Li*0`6FT#*w*!!Sn?nGXgmn#;Z3<!I(qc,eBao#-Li
#@ *0`6FT#*w*!!Rk?nGXgmn#;Z3<!e%rr##7_x*.w*!!xC.o#i0ao#!w!!!.X=vwh'FT#i0ao#
#@ t%CO-?b!:GEngm#YK#N%xLIn#oT9U#UI_m#In17#u/YkwMZW!
#@ 190
#@ x
#@ 14
#@ Ludh9vj@KD3j2TAK(%
#@ x
#@ 6
#@ ,Qs/DL+%
#@ x
#@ 2
#@ I+w
#@ x
#@ 5
#@ n0Hr@7v
#@ x
#@ 7
#@ v@!eDgx;-
#@ x
#@ 5
#@ sB-<@Ev
#@ x
#@ 5
#@ 3aMTA@v
#@ x
#@ 10
#@ %N|(FqSPcEQ:%
#@ x
#@ 7
#@ AP5cEdXD-
#@ x
#@ 4
#@ .gO11
#@ x
#@ 27
#@ ;&sJD3i1*Fg!lr@si`aEvji'Fn^(kBT_T+
#@ x
#@ 5
#@ s85)F1v
#@ x
#@ 8
#@ GD0bE!dMTA
#@ x
#@ 8
#@ JqP)F1Q5DF
#@ x
#@ 5
#@ =o<JD7v
#@ x
#@ 20
#@ .|k)FsA>cE_M*:@3K90D2;)*F
#@ x
#@ 5
#@ 4E%6B?v
#@ x
#@ 2
#@ FVw
#@ x
#@ 34
#@ Ludh9?tk)<D^A`3BpTZ?,1gZ?<;2*Fsyt_FvdmlBTnw
#@ x
#@ 135
#@ 6dV<+FOMEF.cA!=vs7lBQ.F?+`SsiC%<XeDP-3N9(UrfA%0K(F%3DTA5|2TAh'DkB92.>+k#
#@ 3iCBAPQBYR,+D.!BCF3+uD+B8fo.(KxhC<sC9G.kbD+)7bWF)&oC+4CDE+qrWiCBTI;@6_/w
#@ Et)'(F!%gq@5|2TAU`J!4kBEw
#@ x
#@ 22
#@ GuMTAgdfRAvXxlB)B#-EdabnACMw
#@ x
#@ 1
#@ B!
#@ x
#@ 1
#@ A!
#@ x
#@ 20
#@ eOjY?nimlB%Li'FdX|;@5cP)F
#@ x
#@ 4
#@ DP)*F
#@ x
#@ 70
#@ Yl<eD,wBCFMk8c3t!(E+V<mID#6XeD=v&yGIrG9/?DylB*FV5B&|ACF#,:eD<YpJD1V(mB4x
#@ H<@n!lr@si`aEOqw
#@ x
#@ 0
#@
#@ x
#@ 7
#@ RLMEF&@E-
#@ x
#@ 4
#@ m'cSA
#@ x
#@ 6
#@ 1lo(F^(%
#@ x
#@ 93
#@ 6dV<+FOMEFLv8s+e/9KDE>Nb3W!6hC(3=JD1v&yG1EnlB&N>0ApxBq@Fhd#HJN/`F+'TbES1
#@ ::+=3rfA'A#D+gpbSAHVeK/vLcr@:H6^D.=2kBN2::+A!
#@ x
#@ 32
#@ Yl<eD,wBCFMk8c3y-CTAw3=JD!?/kB&mSCFG!/F+
#@ x
#@ 5
#@ r!2kB>v
#@ x
#@ 4
#@ 1kn.0
#@ x
#@ 19
#@ 4;QcEs0^Z?ridlBo97IDUvg+
#@ x
#@ 5
#@ -96O8g!
#@ x
#@ 21
#@ kg#lBk=|mA)Fwx?/ct)Fi'ljB2v
#@ x
#@ 4
#@ 8w`TA
#@ x
#@ 42
#@ |V7q@1O^Z?c.XU@fFYjBw,ZS?&jmMCgBqPBdUfRAtG,-Emw@hC|4%
#@ x
#@ 1
#@ R!
#@ i
#@ 0
#@ x
#@ 105
#@ 6dV<+LdV<+FOMEFLv8s+e/9KDE>Nb3W!6hC(3=JD1v&yG1EnlB&N>0ApxBq@Fhd#H:y3<@ig
#@ 1kB5<9:+LdV<+=3rfA'A#D+gpbSAHVeK/vLcr@>lM!FaOf7A'.<:+LdV<+A!
#@ x
#@ 35
#@ btdq@vd(:@vai'Fyv4Y?/ct)Fj7=Y?h^oRAbR'Z?e.Q,
#@ x
#@ 13
#@ lj|Z?3DccE,&MEF1v
#@ x
#@ 19
#@ 4;QcEs0^Z?ridlBo97IDUvg+
#@ x
#@ 18
#@ ridlBiX>OBu2K^F!_KZ?KGw
#@ x
#@ 6
#@ IDvmBP+%
#@ x
#@ 117
#@ 6dV<+LdV<+LdV<+FOMEFLv8s+e/9KDE>Nb3W!6hC(3=JD1v&yG1EnlB&N>0ApxBq@Fhd#H:y
#@ 3<@ig1kB5<9:+LdV<+LdV<+=3rfA'A#D+gpbSAHVeK/vLcr@>lM!FaOf7A'.<:+LdV<+LdV<
#@ +A!
#@ x
#@ 20
#@ eOjY?nimlB%Li'FdX|;@5cP)F
#@ x
#@ 16
#@ 4;QcEs0^Z?!=mID#6XeD
#@ x
#@ 10
#@ 5dfRAvXxlBY(%
#@ x
#@ 135
#@ 6dV<+JS)!F9R,!FdX|;@5cP)F94^aEg(+:@6`t!HM4jr@si`aEAEB<.QvV<+LdV<+#k|Z?ni
#@ mlB%Li'FdX|;@5cP)F'&5)FBXVE+F&8lBq':p@n1O:@rjX9@eh*:@.&l%Gg!lr@si`aEups#
#@ ?<nir@si`aEups#?92::+,CEw
#@ x
#@ 4
#@ !xMTA
#@ x
#@ 75
#@ 6dV<+JS)!F9R,!FdX|;@5cP)FjNggCn!lr@si`aEups#?QvV<+LdV<+#k|Z?nimlB%Li'FdX
#@ |;@5cP)F<negCH2::+,CEw
#@ x
#@ 4
#@ (w=JD
#@ x
#@ 7
#@ N`Yo/e.Q,
#@ x
#@ 10
#@ ridlBDHq=FFhw
#@ x
#@ 4
#@ *dmID
#@ x
#@ 1
#@ Cv
#@ x
#@ 47
#@ @!!j@waACFm2|N@A2fTA59XeDePvi/xW&dEn=+Y?+R.lBdk`[email protected]
#@ x
#@ 5
#@ )lP)F1v
#@ x
#@ 17
#@ !*9,E!si'F&?s+EF>sr@8v
#@ x
#@ 22
#@ #+T7A-<XeDg.jY?,CHr@n^CkBHSw
#@ x
#@ 8
#@ i%97AagbSA
#@ x
#@ 43
#@ DP)*Fp#lFD,Qs/D%<XeD|M*!F1^cr@+S5D+e<;oA2cir@7rtDF1B;'
#@ x
#@ 60
#@ +''fDtjp-D#mJ(F<negCKkBTA/!iTApG5cE0ik)F<negCKkBTA/!iTAgdfRAvXxlBQ+fK/A_
#@ A)4
#@ x
#@ 15
#@ njkSAt=sp@-eD%Gd)Hw
#@ x
#@ 2
#@ g?%
#@ x
#@ 39
#@ 9bN<@ktWU@ro`Q?#O`aEg9m*E*k>D+-?l#Fh!cr@eRo;@9k='
#@ x
#@ 93
#@ :tJTA*Cy|3#O`aEg9m*E*k>D+ko<JD6r1EF81g'Fh!cr@eRo;@N%bH0lQCkB,MNBD'%U;@,'
#@ 8UAlQCkBvH>0Av+^;@oKI9>wm<eD5/saEv%(w?YE*p>y!
#@ x
#@ 10
#@ 4S)!F1^cr@Q-v
#@ x
#@ 4
#@ v=xhC
#@ x
#@ 1
#@ y!
#@ x
#@ 9
#@ DwxfD'bfRAA!
#@ x
#@ 2
#@ S@!
#@ x
#@ 13
#@ i3hgC@|o(F4PZ-E1v
#@ x
#@ 3
#@ ndD-
#@ x
#@ 30
#@ Ludh9?tk)<D^A`3G)fCFyL|;@9QelB7D!wHLSw
#@ x
#@ 16
#@ !=mID#6XeD*/uDF1oG)F
#@ x
#@ 33
#@ Ludh9?tk)<D^A`3G)fCFgdfRAvXxlB%*T,E26sJD?v
#@ x
#@ 5
#@ njkSA?v
#@ x
#@ 5
#@ 92_`F<v
#@ x
#@ 4
#@ t0H8A
#@ x
#@ 13
#@ jdLLCYk*Y?3'rTA>v
#@ x
#@ 13
#@ w@^;@eemX?3'rTA>v
#@ x
#@ 25
#@ Ludh9?tk)<D^A`3_46-E2BK(F*9>)F3v
#@ x
#@ 7
#@ 10WO/ohc&
#@ x
#@ 16
#@ 4;QcEs0^Z?!=mID#6XeD
#@ x
#@ 10
#@ >c5DFed5<@FVw
#@ x
#@ 13
#@ :QDs@vqoRAs#F+E0v
#@ x
#@ 11
#@ KeTfDfvs:@_Y|+
#@ x
#@ 10
#@ 7dfRAvXxlBEAw
#@ x
#@ 5
#@ 8'ljB2v
#@ x
#@ 4
#@ lWNeD
#@ x
#@ 38
#@ &jmMCm#seDve5<@dIYjBJVAc3?DylB#Li'Fr=,D+i<xhC@Pw
#@ x
#@ 41
#@ &jmMCm#seDve5<@dIYjBJVAc3?DylB#Li'Fr=,D+&Y0q@`@oRA0v
#@ x
#@ 4
#@ LyjiC
#@ x
#@ 6
#@ )'|(FNJw
#@ x
#@ 4
#@ 3N!iC
#@ x
#@ 2
#@ *)w
#@ x
#@ 1
#@ +v
#@ x
#@ 11
#@ 3KfCF2x7IDUvg+
#@ x
#@ 9
#@ !=mID#6XeD+v
#@ x
#@ 4
#@ >Q%hC
#@ x
#@ 75
#@ >[email protected]`p@hp^LCL-.fDiliJD09BCFYk&7A#Nt!HDR:eD,w
#@ BCF(iSCF>keK/@5--E+BHw
#@ x
#@ 4
#@ LyB,E
#@ x
#@ 19
#@ E4jr@si`aEvji'Fn^(kBT_T+
#@ x
#@ 9
#@ L-.fD0a%lB@v
#@ x
#@ 11
#@ lj|Z?ms9Z?kL2-
#@ x
#@ 39
#@ >c5DFh*29AsV1`FEPMEF%Z90De_!Y?sj|Z?bXo;@n3?<@XYf+
#@ x
#@ 11
#@ #k|Z?ms9Z?kL2-
#@ x
#@ 31
#@ >c5DFh*29AsV1`FEPMEFs>fCF!BK(F)R?<@XYf+
#@ x
#@ 21
#@ |V7q@4l(dEn=+Y?.gO11l<c8A1v
#@ x
#@ 107
#@ 6dV<+JS)!F(c|N@c:fq@.&o-D%)#D+h)fCFm5|,Eu,aaE(iSCF)EylBnFYjBw,ZS?r^oRA92
#@ ::+LdV<+9MfCFm5|,Eu,aaE(iSCF)EylBnFYjBw,ZS?r^oRAHRM`FA2::+,CEw
#@ x
#@ 4
#@ uKhgC
#@ x
#@ 79
#@ 6dV<+LdV<+#k|Z?ms9Z?6nCE+gd|Z?>c5DF|:fq@.&o-D%)#D+r*aY?.gO11l<c8AWb`WF2N
#@ fCFn*aY?.gO11l<c8AA2::+,CEw
#@ x
#@ 6
#@ =B=iCHMw
#@ x
#@ 5
#@ ?TsJD>v
#@ x
#@ 36
#@ Ludh9?tk)<D^A`3N`DTAtsY8Ah<..D!:p;@We`p@hp^LC
#@ x
#@ 24
#@ LyB,EB=GhCkdggCl#sJD2I-<@6;)*F
#@ x
#@ 27
#@ Ludh9?tk)<D^A`3|+H)F?u>cEv:UZ?i@w,
#@ x
#@ 7
#@ rLa:@_k,,
#@ x
#@ 6
#@ 8?c<@N+%
#@ x
#@ 26
#@ <*r<+f`eQ6-pIlB0%DE+V<mID#6XeD&-v
#@ x
#@ 35
#@ <*r<+f`eQ6-pIlB0%DE+=fMTA>?s;.|XeRAvXxlB@=Fw
#@ x
#@ 5
#@ hi+@G/v
#@ x
#@ 17
#@ kg#lBk=|mA)Fwx?k%FU@/v
#@ x
#@ 31
#@ kg#lBk=|mA)Fwx?k%FU@n&BfD%_KZ?4<nlBrw<-
#@ x
#@ 12
#@ hIa:@rjp-D%)#D+
#@ x
#@ 14
#@ hIa:@he`p@,OuSA^-v
#@ x
#@ 24
#@ <GoaEl?VTAgdfRAvXxlBKlQ(D%)#D+
#@ x
#@ 9
#@ A@tdD/-0bEA!
#@ x
#@ 7
#@ >Yx;@iiGw
#@ x
#@ 68
#@ #k|Z?ms9Z?4<nlB=FME+BGo(FQ5>F+'.lSA;#sJD9r1EFl#sJD2I-<@v1^Z?,HX/DPPmX?>C
#@ C9GllrJDjB2+4
#@ x
#@ 65
#@ #k|Z?ms9Z?4<nlB=FME+BGo(FQ5>F+'.lSA;#sJD9r1EFl#sJD2I-<@v1^Z?,HX/DPPmX?5G
#@ NBD7;x:Iy!
#@ x
#@ 16
#@ hIa:@#Li'FdX|;@7t(E+
#@ x
#@ 150
#@ 9bN<@ktWU@ro`Q?uKI9>lj|Z?0ot)FYk*Y?wm<eDD@#lB7=VE+#<WO/i;=r>TMfCFq2K(FmF
#@ 5SAi<;oAGH:eB6%^g9H(GJ0eb6bC+T5DFuuY|Eq&TbE)+VE+C,aaEegpgC%/-CFE9xQHh-ur
#@ @Cwf*F+f1EFD<D:@5aQ<@lO26I5vYZF2NfCF-,00Dv*#
#@ x
#@ 52
#@ DwxfD'bfRA75@q@FteK/'%HW@4V#D+:#sJD8o(`FnlrJDu_PcEAL,!FdX|;@!Y0Z?
#@ x
#@ 5
#@ >Q%hCy!
#@ x
#@ 37
#@ DwxfD'bfRAA@tdD13=JDu'RdDqT/bE@CDE+!=mID#6XeD+v
#@ x
#@ 53
#@ :tJTArdkSA&k54BhH<JDDteK/RofQ6ex,J0)ocK/#R.lB9b-R?U.O?+3aMTA3&g'F1v
#@ x
#@ 1
#@ Iv
#@ x
#@ 37
#@ lNI9>3'8UAdh`p@w1cSA'<XeDrKQ3B#wj'FdX|;@5cP)FA!
#@ x
#@ 26
#@ >qAkB/?0bE8b#LDgdfq@-GhAG^JV!?g?%
#@ x
#@ 12
#@ 9nATAdHi'Fr=,D+
#@ x
#@ 43
#@ DP)*F9tvlBk=|mA)Fwx?pxbnAi<;oA^M*!Fr=,D+m'cSA%Ia:@8B;'
#@ x
#@ 10
#@ i%97Ai'ljBR-v
#@ x
#@ 3
#@ FJT+
#@ x
#@ 4
#@ ,`D(4
#@ x
#@ 27
#@ ,`D(4f8DTAf=+Y?!=mID#6XeDK'%fD`iGw
#@ x
#@ 12
#@ fkeC+>G5cE2%DE+
#@ x
#@ 10
#@ fkeC+6<..DQ-v
#@ x
#@ 68
#@ #k|Z?ms9Z?4<nlB=FME+BGo(FQ5>F+'.lSA;#sJD9r1EFl#sJD2I-<@v1^Z?,HX/DPPmX?)0
#@ |N@llrJDjB2+4
#@ x
#@ 152
#@ 9bN<@ktWU@ro`Q?uKI9>b%4SArdkSA&k54BhH<JDDteK/#R.lBF%^g9H(GJ0bY!wFrBq-DwL
#@ 6<@aFYjB0k(E+<1oC+#<WO/,ik>+<f!/DPR(d0Cd|7ApxBq@YhFtFja0;@u@#D+(|B,E,XO:
#@ @,8>D+3vL;@gpb*4!f1EF6l:EF=j26I^+H)F%HaQ?Y%f)4
#@ x
#@ 55
#@ +By|3#k|Z?0ot)FYk*Y?wm<eD<V*8Ak#pq69^w)2D@#lB7=VE+(3MTA`'RKD91VE+Y4g+
#@ x
#@ 29
#@ fkeC+5KxhC8%DE+w@^;@eemX?3'rTAn8/C+Gv
#@ x
#@ 18
#@ 0;m|;dX|;@7t(E+i8BCF_(%
#@ x
#@ 16
#@ 1D3w<9xVE+h&rTARm?Q8
#@ x
#@ 10
#@ ,dRdD4<XeDX(%
#@ x
#@ 33
#@ #k|Z?!=mID#6XeDtQ?<@IxME+EDsJDGMJvF686-EA!
#@ x
#@ 15
#@ F^LeD8jZQHs85)Fw|Hw
#@ x
#@ 77
#@ lNI9>:<ylB.Am`C&K#DFlKQ3BiF'7Ao|<JDVP*:@;<ylB('WTAEgAk@+ct)F(dfRAvXxlB;G
#@ #:A-=..Dh*m*E5H0bEw9/C+Gv
#@ x
#@ 37
#@ :tJTA!vlr@si`aEvji'F6&_`FFpy1A-=..Dh*m*E5H0bEA!
#@ x
#@ 16
#@ @q`SAaR54Bp&BfD;%DE+
#@ x
#@ 8
#@ ;`4hC@mZQH
#@ x
#@ 35
#@ :tJTA!vlr@si`aEvji'F6&_`FR2N%E5H0bE%)(yGw<Hw
#@ x
#@ 4
#@ ZPJF+
#@ x
#@ 2
#@ I-v
#@ x
#@ 47
#@ #k|Z?!=mID#6XeDtQ?<@IxME+EDsJDGMJvF686-E/jj:@9Jx:IF^LeDgfGw
#@ x
#@ 7
#@ F^LeDgfGw
#@ x
#@ 28
#@ #k|Z?!=mID#6XeD*/uDF1oG)FGFKTAFI2E+
#@ x
#@ 16
#@ LK8vHr9.lBn&BfD;%DE+
#@ x
#@ 96
#@ ENSHB)_#D+.5#D+3=%F+&GfC+1A;EF+S5D+%wviCGdG<F-%,!F686-E2PP)F*FV5BufY8A1r
#@ <JD&*cr@2PP)F*FV5B.o<iC?aqE+.5#D+t0H8A2PP)F*FV5B
#@ x
#@ 141
#@ lNI9>3'8UAdh`p@w1cSA'<XeDrKQ3B#wj'FdX|;@5cP)FUuA`F/1VE+5KxhC8%DE+92_`Fl8
#@ /C+?NfCFgdfRAvXxlB-f:EF5S2*F=SR;@hYN:@CF`cEIVeK/r!-x?-RIIDHRM`FiKQ3B#wj'
#@ FdX|;@5cP)F>qAkB/?0bE9MfCFJfc'4Iv
#@ x
#@ 124
#@ lNI9>3'8UAdh`p@w1cSA'<XeDrKQ3B#wj'FdX|;@5cP)FUuA`F/1VE+5KxhC8%DE+t0H8AvU
#@ ZQH#k|Z?!=mID#6XeDtQ?<@IxME+>r<JD`6*+EHRM`FiKQ3B#wj'FdX|;@5cP)F>qAkB/?0b
#@ E0u<iCF_16I
#@ x
#@ 71
#@ QeD<FrEMTA;G0bE.APQBkKpp@1_#D+u@oC+4;QcE4LVE+!=mID#6XeD75:JD.0?SA'HmIDw3
#@ BCF3+uD+6ot)Fh^c,
#@ x
#@ 747
#@ 6dV<+G8-wEIXME+4@>D++<..D!:p;@oPYKA0JbD+=&8lB,F;kB(y%XHS1::+LdV<+FOMEF?L
#@ AgAAqh<+6,aaEegpgC)5:JDnimlB%Li'FdX|;@5cP)F3i5a3K`R.D!D+Y?,HX/Djf<iCulSC
#@ F3+uD+MJ^|3lj|Z?!=mID#6XeDn5/C+MJ^|3lj|Z?!=mID#6XeDl:i?>4/;EF*Wpp@0dhTAl
#@ fi'FdX|;@5cP)F?ZL!?8(0!,QvV<+LdV<+DP)*F4M&PBNjV<+nmKTA!vlr@si`aEvji'F6&_
#@ `FCU`4@.&l%G8F`cEF;iN.nFK9wLdV<+GH8`FM/qT,2Q:s+1A`nA3i5a3|F.>Hf44Y?vwviC
#@ rimlB&pdT>)d|;@.&l%Gg!lr@si`aEtgX|>!y%XHS1::+LdV<+FOMEF?LAgAAqh<+fOoZ3SM
#@ fCFgdfRAvXxlB-f:EF5S2*F3rpp@0dhTAuoggC!UdT>)d|;@.&l%Gg!lr@si`aES=,*4S1::
#@ +LdV<+FOMEF?LAgAAqh<+=|3(,QvV<+LdV<+DP)*F4M&PBNjV<+mWdT>d?l#F/64eDd-!eDm
#@ IBq@26sJD3i5a3G)fCFgdfRAvXxlBQ+fK/,:HSA9b-R?4;QcEs0^Z?!=mID#6XeD?ZL!?;Q!
#@ (,QvV<+LdV<+DP)*F4M&PBNjV<++By|34;QcEs0^Z?!=mID#6XeD(K^p@6gDTA,wj'FdX|;@
#@ Rhy)4S1::+LdV<+FOMEF?LAgAAqh<+;KRF+!xMTAu|K^>EeV<+FOMEF37Zw,e/9KDE>Nb3/N
#@ y|3Ai0fDGhV*F(fTfDtH..Dh<..D*Pl^F/jmID!vlr@si`aEBG3m-(cn^F/jmID!vlr@si`a
#@ EC5V=+#9K(F>%lDF32=mB25|w,GFtD+=|3(,QvV<+LdV<+DP)*F4M&PB=sx9IS1::+,CEw
#@ x
#@ 59
#@ 6dV<+G8-wEIXME+4@>D+;MfCFgdfRAvXxlB-f:EF5S2*F3rpp@0dhTAHRM`Fa@;v4S1::+,C
#@ Ew
#@ x
#@ 200
#@ 6dV<+G8-wEIXME+4@>D++<..D!:p;@oPYKA0JbD+=&8lB,F;kB(y%XHS1::+LdV<+FOMEF?L
#@ AgAAqh<+)?l#Ff++:@.&l%Gg!lr@si`aE8IME+Cr*RHd`SCFpC+Y?!=mID#6XeDA2_9InFK9
#@ wLdV<+GH8`FM/qT,2Q:s++By|34;QcEs0^Z?!=mID#6XeD(K^p@6gDTA,wj'FdX|;@Rhy)4S
#@ 1::+LdV<+FOMEF?LAgA(;tT>xGK9wLdV<+
#@ x
#@ 74
#@ D-<cE2t+!Fw-0CF(am*E+@UZ?m'cSA<,'=@A%0<IuG2+4R*r<+cjNBDG!D9G0LVE+,wK(F#l
#@ '+EvtGSAwlFhCkdggCy:%
#@ x
#@ 54
#@ DwxfD'bfRA75@q@FteK/'%HW@4V#D+:#sJD8o(`FnlrJDu_PcE2o>0AuE)5B@>sr@s)#
#@ x
#@ 39
#@ DwxfD'bfRAA@tdD13=JDu'RdDqT/bE@CDE+ridlBDHq=F,v>'
#@ x
#@ 34
#@ :tJTA*Cy|3#O`aEg9m*E*k>D+(3MTAk'cOBq,BCFo)#
#@ x
#@ 17
#@ njkSAt=sp@polRAvLVTAA!
#@ x
#@ 42
#@ DP)*Fp#lFD,Qs/D%<XeD|M*!F1^cr@fHI9>wm<eD5/saEv%(w?))#
#@ x
#@ 32
#@ Ludh9?tk)<D^A`3YDylBm=>OBsH2TAt!lr@7rtDF
#@ x
#@ 21
#@ Yl<eD,wBCFMk8c3/5T,E/FV5BA!
#@ x
#@ 4
#@ E?)E+
#@ x
#@ 4
#@ 3yR#H
#@ x
#@ 20
#@ #k|Z?nimlB%Li'FdX|;@5cP)F
#@ 4
#@ L 0 1207 485 1698 1197 -1
#@ C 1 1296 26 -1 -1 1320
#@ C 1 1376 26 -1 -1 1400
#@ L 0 1861 1763 3630 1851 -1
#@ 2
#@ F
#@ 1 19 20
#@ 1
#@ 21
#@ F
#@ 1 29 30
#@ 1
#@ 21
#@ 1 38
#@ 4
#@ %N|(F
#@ 0 0 256
#@ 8
#@ ?AhAG!X&(F
#@ 1 0 0
#@ 8
#@ 5<9GEtU,8A
#@ 2 0 0
#@ 10
#@ %N|(FqSPcEQ:%
#@ 3 0 0
#@ 4
#@ vdE/D
#@ 4 0 0
#@ 6
#@ 4s;9AR%%
#@ 5 0 0
#@ 37
#@ hXTZ?7<0bEt|2TAh'DkBhHi'F/Fur@sEV5Bd`SCF4o5DFEv
#@ 6 0 0
#@ 7
#@ pxbnAad2-
#@ 7 0 0
#@ 15
#@ 3DccE,&MEFh!Q<@h:g+
#@ 8 0 0
#@ 17
#@ d`SCFpC+Y?!=mID#6XeD?v
#@ 9 0 0
#@ 17
#@ !lkcE1ZbDFgdfRAvXxlB;v
#@ 10 0 0
#@ 8
#@ *q9Z?m'cSA
#@ 11 0 0
#@ 11
#@ ridlBgF|mAytf+
#@ 12 0 0
#@ 2
#@ Eyw
#@ 13 0 0
#@ 18
#@ !*9,E!si'F&?s+Eo^CkBHSw
#@ 14 0 0
#@ 21
#@ _a1kB26sJDiEm*E/-9(FgKxhC1v
#@ 15 0 0
#@ 9
#@ !=mID#6XeD?v
#@ 16 0 0
#@ 16
#@ !=mID#6XeD*/uDF1oG)F
#@ 17 0 0
#@ 15
#@ sF=Y?@r|,E5ot)Fh^c,
#@ 18 0 0
#@ 0
#@
#@ 19 0 512
#@ 0
#@
#@ 20 0 512
#@ 8
#@ !=mID#6XeD
#@ 21 0 0
#@ 17
#@ >c5DFg!lr@7rtDFgKxhC1v
#@ 22 0 0
#@ 11
#@ 3KfCF2x7IDUvg+
#@ 23 0 0
#@ 16
#@ >c5DFg!lr@7rtDF`gP8A
#@ 24 0 0
#@ 6
#@ =B=iCHMw
#@ 25 0 0
#@ 5
#@ ?TsJD>v
#@ 26 0 0
#@ 12
#@ bXYjBw,ZS?r^oRA
#@ 27 0 0
#@ 18
#@ nimlBus6IDgdfRAvXxlBY(%
#@ 28 0 0
#@ 0
#@
#@ 29 0 512
#@ 0
#@
#@ 30 0 512
#@ 9
#@ i(+:@.&l%G1v
#@ 31 0 0
#@ 5
#@ yL|;@8v
#@ 32 0 0
#@ 13
#@ i3hgC@|o(F4PZ-E1v
#@ 33 0 0
#@ 5
#@ hi+@G/v
#@ 34 0 0
#@ 9
#@ hIa:@gyEU@/v
#@ 35 0 0
#@ 23
#@ hIa:@gyEU@n&BfD%_KZ?4<nlBrw<-
#@ 36 0 0
#@ 10
#@ ,dRdD4<XeDX(%
#@ 37 0 0
#@ x
#@ 20
#@ hIa:@he`p@vkT;@e1#4B>)6-E
#@ x
#@ 5
#@ 7<;oA;v
#@ x
#@ 30
#@ o(QDF%H5cE?EPT/o(QDF!=c8AYXPaD!CIIDN(%
#@ x
#@ 22
#@ epCkBo0m*EpvnX?3DccE,&MEFO(%
#@ x
#@ 14
#@ 9oW/DmgY8AtJTfDytw
#@ x
#@ 59
#@ >c5DF8O,!F&?s+EHXG<F3oATA49DTA'Y#D+.5#D+!=mID#6XeD75:JDC^A@+yMB,Ew'0bE)a
#@ f(
#@ x
#@ 10
#@ /^,8Ab'mdDT:%
#@ x
#@ 12
#@ )L>nAh++Y?%N|(F
#@ x
#@ 577
#@ _TJU/@5--EQExQHQKDTA0&6cE;,:eDCC/`FEPMEF(KxhC|L26I5Q2kBmF|;@<gRF+LAccE3G
#@ m:I?,ETA9ZTbE3dRF+ZGXQH5dfRAvXxlBJBxQHV<mID#6XeDC+dfD?DylBV.O?+=(j:@BFVE
#@ +*WB3@mmo|;dX|;@5cP)FF,'=@vai'FdX|;@5cP)FQ:RjCEO9<IG(U,E26sJD7'SF+ZGXQHA
#@ P5cE/%VE+:Q5cE/%VE+GgC9G,XVTAELMEF5/saE8A)*F3L+9@1KK(FH&dq@TvQF+v50q@l_x
#@ QHn++Y?tNi'F5-=JDL`xQH4<nlBv4hgC?uQ<@1KK(F49gq@yk3=IyAPF+X2pCD6HFiCEExQH
#@ .kNBDCR,!F1Q5DF0o<JD<sC9G(btD++uk_F.utfAkgG8AELJ&G!^@hCPHSF+``xQHw6=iC)J
#@ W;IG(U,E26sJD7?<3IT(Z<+PYfTA.NP)FJ)!=IZSSF+3*9fDm*IIDTUNgD4<XeD(JbD+fCT7
#@ A=E<3IT(Z<+JA#lBA*xQHb)!=I9&ndDn7a:@S`xQH4<nlBv4hgCv^,8AwbtD+yAPF+Kgc4@r
#@ 85DFt!^;@%B>cEq^TZ?m'cSA7|DkB!?#j@*C?<@&!=JDnT67Av'nlBv4hgC>eTfD7XG<F#dZ
#@ RA:uMTA(5:JDari8I:,veD?AccEXwf*F5-=JDL`xQH4<nlBv4hgCv^,8A,VW;IT(Z<+6dV<+
#@ A!
#@ x
#@ 15
#@ rpwhC;Z2b3<?<+EfqT+
#@ 0
#@ 0
#@ }
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/syn/dct/write_timing_context_v2_0.tcl.e

#@
#@
#@ if { $synopsys_program_name == "de_shell" } {
#@ # 9000784997: Do not log to command.log when sourcing .tbc files
#@ set prev_sh_source_logging [get_app_var sh_source_logging]
#@ set_app_var sh_source_logging 0
#@ source $synopsys_root/auxx/syn/psyn/create_qor_snapshot.tbc
#@ source $synopsys_root/auxx/syn/psyn/report_qor_snapshot.tbc
#@ set_app_var sh_source_logging $prev_sh_source_logging
#@
#@ source $synopsys_root/auxx/syn/psyn/mcmm_utils.tcl.e
#@ }
#@
#@ ##############################################################################
#@ # ICC setup and hiding commands/procs etc
#@ ##############################################################################
#@
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@ #set save_mw_cel_lib_setup TRUE
#@ #set auto_restore_mw_cel_lib_setup FALSE
#@
#@ alias create_wiring_keepout create_wiring_keepouts
#@ alias get_wiring_keepout get_wiring_keepouts
#@ alias get_placement_keepout get_placement_keepouts
#@ alias create_placement_keepout create_placement_keepouts
#@
#@ icc_hide_cmd execute_command_and_create_cel_from_scratch
#@ icc_hide_cmd dc_read_def
#@ icc_hide_cmd read_edif
#@ icc_hide_cmd read_sverilog
#@ icc_hide_cmd read_vhdl
#@ icc_hide_cmd set_collection_mode
#@ icc_hide_cmd return_dc_collection
#@ icc_hide_cmd return_mw_collection
#@ set mw_use_pdb_lib_format true
#@ }
#@
#@
#@ ##############################################################################
#@ # Tcl Command: get_dont_touch_nets
#@ # Description: wrapper of "get_nets -filter dont_touch_reason==mv"
#@ ##############################################################################
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@
#@ proc get_dont_touch_nets args {
#@
#@ parse_proc_arguments -args $args ra
#@
#@ set cmd [format {get_nets}]
#@
#@ if {[info exists ra()]} {
#@ set cmd [format {%s {%s}} $cmd $ra()]
#@ }
#@ if {[info exists ra(-type)]} {
#@ set cmd [format {%s -filter dont_touch_reasons=~*%s*} $cmd $ra(-type)]
#@ }
#@ if {[info exists ra(-hierarchical)]} {
#@ set cmd [format {%s -hierarchical} $cmd]
#@ }
#@ if {[info exists ra(-quiet)]} {
#@ set cmd [format {%s -quiet} $cmd]
#@ }
#@ if {[info exists ra(-regexp)]} {
#@ set cmd [format {%s -regexp} $cmd]
#@ }
#@ if {[info exists ra(-nocase)]} {
#@ set cmd [format {%s -nocase} $cmd]
#@ }
#@ if {[info exists ra(-exact)]} {
#@ set cmd [format {%s -exact} $cmd]
#@ }
#@
#@ return [uplevel #0 $cmd]
#@ }
#@
#@ define_proc_attributes get_dont_touch_nets \
#@ -info " Get dont_touch nets " \
#@ -permanent \
#@ -define_args { \
#@ {"" "Match net names against patterns" "patterns" list {optional}} \
#@ {-type "Match net dont_touch reasons" "reasons" list {required}} \
#@ {-hierarchical "Search level-by-level in current instance" "" boolean
{optional}} \
#@ {-quiet "Suppress all messages" "" boolean {optional hidden}} \
#@ {-regexp "Patterns are full regular expressions" "" boolean {optional
hidden}} \
#@ {-nocase "With -regexp, matches are case-insensitive" "" boolean {optional
hidden}} \
#@ {-exact "Wildcards are considered as plain characters" "" boolean {optional
hidden}} \
#@ }
#@
#@ alias get_dont_touch_net get_dont_touch_nets
#@ }
#@
#@
#@ ##############################################################################
#@ # return the first {index value} pair in Tcl array ary.
#@ ##############################################################################
#@ proc _snps_array_peek { level ary } {
#@ upvar #$level $ary loc_ary
#@ set ret [list]
#@ if {[array exists loc_ary] == 0} {
#@ return $ret
#@ }
#@ if {[catch {set token [array startsearch loc_ary]}]} {
#@ return $ret
#@ }
#@ while {[array anymore loc_ary $token]} {
#@ set k [array nextelement loc_ary $token]
#@ set v $loc_ary($k)
#@ set ret [list $k $v]
#@ break
#@ }
#@ array donesearch loc_ary $token
#@ return $ret;
#@ }
#@ define_proc_attributes _snps_array_peek -hidden
#@
#@
#@ ##############################################################################
#@ # Tcl Command: filter_collection
#@ # Description: DC wrapper for filter_collection with fixes of direction filter
#@ #
#@ # This is a fix for DC's filter using "direction" attribute. This
#@ # attribute is of integer type, but user wants a string format
#@ ##############################################################################
#@ if {[string match -nocase {*icc_shell*} $synopsys_program_name] == 0} {
#@ rename -force -hidden filter_collection _real_filter_collection
#@ proc filter_collection {args} {
#@ parse_proc_arguments -args $args ra
#@
#@ set regexp ""
#@ set nocase ""
#@ if {[info exist ra(-regexp)]} {
#@ set regexp "-regexp"
#@ }
#@ if {[info exist ra(-nocase)]} {
#@ set nocase "-nocase"
#@ }
#@ set coll $ra(collection1)
#@
#@ # _real_filter_collection silently returns in this error condition
#@ if {[catch {set coll_size [sizeof_collection $coll]}] || $coll_size <= 0} {
#@ return [list]
#@ }
#@
#@ if {$::sh_translate_direction_attribute == true} {
#@ set filter_expr [replace_direction $coll $ra(expression)]
#@ } else {
#@ set filter_expr $ra(expression)
#@ }
#@
#@ # if $ra(expression) is not empty but filter_expr is, collection is
heterogrnous
#@ # and expression has "direction" as substring. We have to walk through all
objects
#@ if {[string length $filter_expr] == 0 && [string length $ra(expression)] != 0}
{
#@ set part1 [format {_real_filter_collection %s %s } $regexp $nocase]
#@ set results ""
#@ set subclxn ""
#@ set subsize [expr [sizeof_collection $coll]/80 + 10]
#@ set counter 0
#@ foreach_in_collection obj $coll {
#@ incr counter
#@ set cmd [format {%s %s {%s}} $part1 $obj [replace_direction $obj
$ra(expression)]]
#@ append_to_collection subclxn [uplevel #0 $cmd]
#@ if {[expr $counter % $subsize] == 0} {
#@ append_to_collection results $subclxn
#@ set subclxn ""
#@ }
#@ }
#@ if {[sizeof_collection $subclxn] != 0} {
#@ append_to_collection results $subclxn
#@ }
#@ return $results
#@ } else {
#@ set cmd [format {_real_filter_collection %s %s %s {%s}} \
#@ $regexp $nocase $coll $filter_expr]
#@ return [uplevel #0 $cmd]
#@ }
#@ }
#@ define_proc_attributes filter_collection \
#@ -info " Filter a collection, resulting in new collection " \
#@ -permanent \
#@ -define_args { \
#@ {-regexp "Operators =~ and !~ use regular expressions" "" boolean
{optional}} \
#@ {-nocase "Case insensitive string match" "" boolean {optional}} \
#@ {collection1 "Collection to filter" "collection1" string {required}} \
#@ {expression "Filter expression" "expression" string {required}} \
#@ }
#@
#@ }
#@
#@ ############################################
#@ # ppizarro: 12/2020
#@ # Fast Mode
#@ # ---> controled by "enable_fast_mode"
#@ # When variable enable_fast_mode is set to true other variables are set
according
#@ # to values defined in ::fastMode::fast_mode_variables
#@ # ONLY the variables defined in the dict are changed.
#@ # we keep track of any changes by adding traces to those variables
#@ #
#@ # -> All sub vars which form the fast mode var will be set to the correct fast
mode values
#@ # -> Any attempt to change the value of the sub vars which form the
fast_mode_var to a non-default fast mode value, will be warned with appropriate
message.
#@ # -> Any attempt to change the value of the sub vars which form the
fast_mode_var to same value as fast mode, will be a no-op.
#@ #
#@ ############################################
#@ namespace eval ::fastMode {
#@ variable fastModeOrig [dict create]
#@ }
#@
#@ proc ::fastMode::createFastModeVar {} {
#@ set ::enable_fast_mode FALSE
#@ trace add variable ::enable_fast_mode write [list ::fastMode::fastModeChange
$::enable_fast_mode]
#@ trace add variable ::enable_fast_mode unset ::fastMode::fastModeUnset
#@ }
#@
#@ proc ::fastMode::traceVars {} {
#@ dict for {varName value} $::fastMode::fast_mode_variables {
#@ trace add variable ::$varName write [list ::fastMode::tracedVarChange
$varName]
#@ }
#@ }
#@
#@ proc ::fastMode::unTraceVars {} {
#@ dict for {varName value} $::fastMode::fast_mode_variables {
#@ trace remove variable ::$varName write [list ::fastMode::tracedVarChange
$varName]
#@ }
#@ }
#@
#@ proc ::fastMode::tracedVarChange {oldValue varName empty op} {
#@ variable fastModeOrig
#@ #if new value is different than fastmode value
#@ if {[set ::$varName] != [dict get $::fastMode::fast_mode_variables $varName]}
{
#@ #issue warning
#@ puts "Warning: Value [set ::$varName] for $varName is not part of FastMode"
#@ #remove from "reset values" -> fastModeOrig
#@ dict unset fastModeOrig $varName
#@ } else {
#@ #if value is the same, but it's not on the dict we need to re-add
#@ if {![dict exists fastModeOrig $varName]} {
#@ dict set fastModeOrig $varName $oldValue
#@ }
#@ }
#@
#@ }
#@
#@ proc ::fastMode::fastModeUnset {fastModevarName empty op} {
#@ #this is called by the unset trace
#@ #if unset, the intention is to disable fastmode
#@ #we disable fast_mode
#@ ::fastMode::disableVars
#@ #and recreate and add traces to "enable_fast_mode" to always have the variable
traced
#@ ::fastMode::createFastModeVar
#@ }
#@
#@ proc ::fastMode::enableVars {} {
#@ variable fastModeOrig
#@ #foreach declared variable
#@ dict for {varName value} $::fastMode::fast_mode_variables {
#@ #store original value -> $varName $::$varName -> testVal value
#@ if [info exists ::$varName] {
#@ dict set fastModeOrig $varName [set ::[set varName]]
#@ } else {
#@ dict set fastModeOrig $varName "__FASTMODE__UNSET__"
#@ }
#@ #set new value
#@ set ::$varName $value
#@ }
#@ ::fastMode::traceVars
#@ }
#@
#@ proc ::fastMode::disableVars {} {
#@ variable fastModeOrig
#@ ::fastMode::unTraceVars
#@ #foreach saved variable
#@ dict for {varName origValue} $fastModeOrig {
#@ #set new value
#@ if {$origValue eq "__FASTMODE__UNSET__"} {
#@ unset ::$varName
#@ } elseif {$origValue} {
#@ set ::$varName "TRUE"
#@ } else {
#@ set ::$varName "FALSE"
#@ }
#@ dict unset fastModeOrig $varName
#@ }
#@ }
#@
#@ proc ::fastMode::fastModeChange {origValue fastModevarName empty op} {
#@ if { ![string is boolean [set ::$fastModevarName]] } {
#@ puts "Value for $fastModevarName is not a boolean, please use TRUE or FALSE"
#@ set ::$fastModevarName $origValue
#@ }
#@ #if enabled
#@ if {[set ::$fastModevarName]} {
#@ ::fastMode::enableVars
#@ } else {
#@ ::fastMode::disableVars
#@ }
#@ }
#@
#@ #this is to avoid double sourcing, just safer operation
#@ if {![info exists ::fastMode::sourced] || ! $::fastMode::sourced} {
#@ set ::fastMode::sourced true
#@ #these are the defined fast_mode_variables and their values
#@ set ::fastMode::fast_mode_variables [dict create \
#@ "all_regs_skip_dw_netlisting" TRUE \
#@ "enable_connectivity_fanin_fanout" TRUE \
#@ "ui_copy_design_fast_lookup" TRUE \
#@ "no_netlist_collection_update_due_to_path_collection_change"
TRUE \
#@ "preserve_collections_in_compile" FALSE \
#@ ]
#@ ::fastMode::createFastModeVar
#@ }
#@
#@ # -- End source /cad/synopsys/synthesis/cur/auxx/syn/.dc_procs.tcl

#@
#@ # Temporary fix for the LMC_HOME variable- set it to an empty string
#@
#@ if { [catch {getenv LMC_HOME } __err ] != 0 } {
#@ setenv LMC_HOME ""
#@ }
#@
#@
#@ #
#@ #
#@ # Site-Specific Variables
#@ #
#@ # These are the variables that are most commonly changed at a
#@ # specific site, either upon installation of the Synopsys software,
#@ # or by specific engineers in their local .synopsys files.
#@ #
#@ #
#@
#@ # from the System Variable Group
#@ set link_library { * your_library.db }
#@
#@ set search_path [list . ${synopsys_root}/libraries/syn
${synopsys_root}/dw/syn_ver ${synopsys_root}/dw/sim_ver]
#@ set target_library your_library.db
#@ set synthetic_library ""
#@ set command_log_file "./command.log"
#@ set designer ""
#@ set company ""
#@ set find_converts_name_lists "false"
#@
#@ set symbol_library your_library.sdb
#@
#@ # Turn on Formality SVF recording
#@ if { $synopsys_program_name == "dc_shell" || \
#@ $synopsys_program_name == "de_shell" || \
#@ $synopsys_program_name == "design_vision" } {
#@ set_svf -default default.svf
#@ }
#@
#@ # from the Schematic Variable Group
#@
#@ # from the Plot Variable Group
#@ # [froi] 07/06/2012: Remove old Design Analyzer plot_command variable
#@ #if { $sh_arch == "hp700" } {
#@ # set plot_command "lp -d"
#@ #} else {
#@ # set plot_command "lpr -Plw"
#@ #}
#@
#@ set view_command_log_file "./view_command.log"
#@
#@ # from the View Variable group
#@ if { $sh_arch == "hp700" } {
#@ set text_print_command "lp -d"
#@ } else {
#@ set text_print_command "lpr -Plw"
#@ }
#@ #
#@ # System Variable Group:
#@ #
#@ # These variables are system-wide variables.
#@ #
#@ set arch_init_path ${synopsys_root}/${sh_arch}/motif/syn/uid
#@ set auto_link_disable "false"
#@ set auto_link_options "-all"
#@ set uniquify_naming_style "%s_%d"
#@ set verbose_messages "true"
#@ set echo_include_commands "true"
#@ set svf_file_records_change_names_changes "true"
#@ set change_names_update_inst_tree "true"
#@ set change_names_dont_change_bus_members false
#@ set default_name_rules ""
#@ #set tdrc_enable_clock_table_creation "true"
#@
#@ #
#@ # Compile Variable Group:
#@ #
#@ # These variables affect the designs created by the COMPILE command.
#@ #
#@ set compile_assume_fully_decoded_three_state_busses "false"
#@ set compile_no_new_cells_at_top_level "false"
#@ set compile_dont_touch_annotated_cell_during_inplace_opt "false"
#@ set compile_update_annotated_delays_during_inplace_opt "true"
#@ set compile_instance_name_prefix "U"
#@ set compile_instance_name_suffix ""
#@ set compile_negative_logic_methodology "false"
#@ set compile_disable_hierarchical_inverter_opt "false"
#@ set compile_use_low_timing_effort "false"
#@ set compile_fix_cell_degradation "false"
#@ set compile_preserve_subdesign_interfaces "false"
#@ set compile_enable_constant_propagation_with_no_boundary_opt "true"
#@ set port_complement_naming_style "%s_BAR"
#@ set compile_implementation_selection "true"
#@ set compile_delete_unloaded_sequential_cells "true"
#@ set reoptimize_design_changed_list_file_name ""
#@ set compile_checkpoint_phases "false"
#@ set compile_cpu_limit 0.0
#@ set compile_log_format " %elap_time %area %wns %tns %drc %endpoint";
#@ set compile_top_all_paths "false"
#@ set compile_top_acs_partition "false"
#@ set default_port_connection_class "universal"
#@ set compile_hold_reduce_cell_count "false"
#@ set compile_retime_license_behavior "wait"
#@ set dont_touch_nets_with_size_only_cells "false"
#@ if { $synopsys_program_name == "dc_shell" && [shell_is_in_topographical_mode] }
{
#@ set dct_prioritize_area_correlation "false"
#@ set compile_error_on_missing_physical_cells "false"
#@ }
#@
#@ set ldd_return_val 0
#@ if { [string compare $dc_shell_mode "default"] == 0 } {
#@ set ldd_script ${synopsys_root}/auxx/syn/scripts/list_duplicate_designs.dcsh
#@ alias list_duplicate_designs "include -quiet ldd_script; dc_shell_status =
ldd_return_val "
#@
#@ }
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
#@ if {$synopsys_program_name != "dc_sms_shell"} {
#@ source ${synopsys_root}/auxx/syn/scripts/list_duplicate_designs.tcl
#@ # 9000784997: Do not log to command.log when sourcing .tbc files
#@ set prev_sh_source_logging [get_app_var sh_source_logging]
#@ set_app_var sh_source_logging 0
#@ source ${synopsys_root}/auxx/syn/scripts/analyze_datapath.tbc
#@ set_app_var sh_source_logging $prev_sh_source_logging
#@ }
#@ }
#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/syn/scripts/list_duplicate_designs.tcl

#@ #######################################################################
#@ #
#@ # list_duplicate_designs.tcl 21 Sept. 2006
#@ #
#@ # List designs in dc_shell memory that have the same design name
#@ #
#@ # COPYRIGHT (C) 2006, SYNOPSYS INC., ALL RIGHTS RESERVED.
#@ #
#@ #######################################################################
#@
#@ proc list_duplicate_designs { args } {
#@ parse_proc_arguments -args $args ra
#@
#@ # Get the list of duplicate designs
#@ set the_pid [pid]
#@ set rand_1 [expr int(rand() * 100000)]
#@ set temp_file_1 [format "/tmp/ldd_design_%s_%s" $the_pid $rand_1]
#@
#@ redirect $temp_file_1 { foreach_in_collection ldd_design [find design "*"] {
#@ echo [get_object_name $ldd_design]
#@ } }
#@
#@ set rand_2 [expr int(rand() * 100000)]
#@ set temp_file_2 [format "/tmp/ldd_design_%s_%s" $the_pid $rand_2]
#@
#@ sh sort $temp_file_1 | uniq -d | tee $temp_file_2
#@ file delete $temp_file_1
#@
#@ # Report duplicates
#@ if { ! [file size $temp_file_2] } {
#@ echo [concat {No duplicate designs found.}]
#@ set ldd_return_val 0
#@ } else {
#@ set rand_3 [expr int(rand() * 100000)]
#@ set temp_file_3 [format "/tmp/ldd_design_%s_%s" $the_pid $rand_3]
#@ echo {Warning: Multiple designs in memory with the same design name.}
#@ echo {}
#@ echo { Design File Path}
#@ echo { ------ ---- ----}
#@ list_designs -table > $temp_file_3
#@ echo [sh fgrep -f $temp_file_2 $temp_file_3 | sort | grep -v
'Design.*File.*Path']
#@ file delete $temp_file_3
#@ set ldd_return_val 1
#@ }
#@
#@ # Clean up
#@ file delete $temp_file_2
#@
#@ set list_duplicate_designs1 $ldd_return_val
#@ }
#@
#@ define_proc_attributes list_duplicate_designs \
#@ -info " List designs of same names" \
#@ -permanent \
#@ -define_args {
#@ }
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/syn/scripts/list_duplicate_designs.tcl

#@
#@
#@ set compile_log_format " %elap_time %area %wns %tns %drc %endpoint";
#@
#@ set compile_top_all_paths "false"
#@ alias compile_inplace_changed_list_file_name \
#@ reoptimize_design_changed_list_file_name
#@
#@ #
#@ # These variables affects compile, report_timing and report_constraints
#@ # commands.
#@ #
#@ set enable_recovery_removal_arcs "false"
#@ set timing_report_attributes {dont_touch dont_use map_only size_only ideal_net
infeasible_paths}
#@
#@ #
#@ # Multibit Variable Group:
#@ #
#@ # These variables affect the multibit mapping functionality
#@ #
#@
#@ set bus_multiple_separator_style ","
#@ set bus_multiple_name_separator_style ",,"
#@
#@ #
#@ # ILM Variable Group:
#@ #
#@ # These variables affect Interface Logic Model functionality
#@ #
#@
#@ set ilm_ignore_percentage 25
#@
#@ #
#@ # Estimator Variable Group:
#@ #
#@ # These variables affect the designs created by the ESTIMATE command.
#@ #
#@ set estimate_resource_preference "fast"
#@ alias est_resource_preference estimate_resource_preference
#@ set lbo_lfo_enable_at_pin_count 3
#@ set lbo_cells_in_regions "false"
#@
#@ # Synthetic Library Group:
#@ #
#@ # These variable affect synthetic library processing.
#@ #
#@ set synlib_dont_get_license {}
#@ set synlib_library_list {DW01 DW02 DW03 DW04 DW05 DW06 DW07}
#@ set synlib_wait_for_design_license {}
#@ set synlib_dwhomeip {}
#@
#@ #
#@ # Insert_DFT Variable Group:
#@ #
#@ #set test_default_client_order [list]
#@ set insert_dft_clean_up "true"
#@ set insert_test_design_naming_style "%s_test_%d"
#@ # /*insert_test_scan_chain_only_one_clock = "false"
#@ # Replace by command line option (star 17215) -- Denis Martin 28-Jan-93*/
#@ set test_clock_port_naming_style "test_c%s"
#@ set test_scan_clock_a_port_naming_style "test_sca%s"
#@ set test_scan_clock_b_port_naming_style "test_scb%s"
#@ set test_scan_clock_port_naming_style "test_sc%s"
#@ set test_scan_enable_inverted_port_naming_style "test_sei%s"
#@ set test_scan_enable_port_naming_style "test_se%s"
#@ set test_scan_in_port_naming_style "test_si%s%s"
#@ set test_scan_out_port_naming_style "test_so%s%s"
#@ set test_non_scan_clock_port_naming_style "test_nsc_%s"
#@ set test_default_min_fault_coverage 95
#@ set test_dedicated_subdesign_scan_outs "false"
#@ set test_disable_find_best_scan_out "false"
#@ set test_dont_fix_constraint_violations "false"
#@ set test_isolate_hier_scan_out 0
#@ set test_mode_port_naming_style "test_mode%s"
#@ set test_mode_port_inverted_naming_style "test_mode_i%s"
#@ set compile_dont_use_dedicated_scanout 1
#@ set test_mux_constant_si "false"
#@
#@ #
#@ # Analyze_Scan Variable Group:
#@ #
#@ # These variables affect the designs created by the PREVIEW_SCAN command.
#@ #
#@ set test_preview_scan_shows_cell_types "false"
#@ set test_scan_link_so_lockup_key "l"
#@ set test_scan_link_wire_key "w"
#@ set test_scan_segment_key "s"
#@ set test_scan_true_key "t"
#@
#@ #
#@ # bsd Variable Group:
#@
#@ # These variables affect the report generated by the check_bsd command
#@ # and the BSDLout generated by the write_bsdl command.
#@ #
#@ set test_user_test_data_register_naming_style "UTDR%d"
#@
#@ set test_user_defined_instruction_naming_style "USER%d"
#@
#@ set test_bsdl_default_suffix_name "bsdl"
#@
#@ set test_bsdl_max_line_length 80
#@
#@ set test_cc_ir_masked_bits 0
#@
#@ set test_cc_ir_value_of_masked_bits 0
#@
#@ set test_bsd_allow_tolerable_violations "false"
#@ set test_bsd_optimize_control_cell "false"
#@ set test_bsd_control_cell_drive_limit 0
#@ set test_bsd_manufacturer_id 0
#@ set test_bsd_part_number 0
#@ set test_bsd_version_number 0
#@ set bsd_max_in_switching_limit 60000
#@ set bsd_max_out_switching_limit 60000
#@
#@ #
#@ # TestManager Variable Group:
#@ #
#@ # These variables affect the TestManager methodology.
#@ #
#@ set multi_pass_test_generation "false"
#@
#@ #
#@ # TestSim Variable Group:
#@ #
#@ # These variables affect the TestSim behavior.
#@ #
#@ # set testsim_print_stats_file "true"
#@
#@ # Test DRC Variable Group:
#@ #
#@ # These variables affect the check_test command.
#@ #
#@ set test_capture_clock_skew "small_skew"
#@ set test_allow_clock_reconvergence "true"
#@ set test_check_port_changes_in_capture "true"
#@ set test_infer_slave_clock_pulse_after_capture "infer"
#@
#@ #
#@ # Test Variable Group:
#@ #
#@ # These variables affect the rtldrc, check_test, write_test_protocol
#@ # and write_test command.
#@ #
#@ set test_default_delay 0.0
#@ set test_default_bidir_delay 0.0
#@ set test_default_strobe 40.0
#@ set test_default_strobe_width 0.0
#@ set test_default_period 100.0
#@ set test_stil_max_line_length 72
#@
#@ #added for B-2008.09-place_opt-004 to disable this option in ICC
#@
#@ if { $synopsys_program_name != "icc_shell"} {
#@ set test_write_four_cycle_stil_protocol "false"
#@ set test_protocol_add_cycle "true"
#@ set test_stil_multiclock_capture_procedures "false"
#@ set write_test_new_translation_engine "false"
#@ set test_default_scan_style "multiplexed_flip_flop"
#@ set test_jump_over_bufs_invs "true"
#@ set test_point_keep_hierarchy "false"
#@ set test_mux_constant_so "false"
#@ set test_use_test_models "false"
#@ set test_stil_netlist_format "db"
#@ group_variable test "test_protocol_add_cycle"
#@ group_variable test "test_write_four_cycle_stil_protocol"
#@ group_variable test "test_stil_multiclock_capture_procedures"
#@ group_variable test "test_default_scan_style"
#@ group_variable preview_scan "test_jump_over_bufs_invs"
#@ group_variable insert_dft "test_point_keep_hierarchy"
#@ group_variable insert_dft "test_mux_constant_so"
#@ group_variable test "test_stil_netlist_format"
#@ }
#@ set test_rtldrc_latch_check_style "default"
#@ set test_enable_capture_checks "true"
#@ set ctldb_use_old_prot_flow "false"
#@ set test_bsd_default_delay 0.0
#@ set test_bsd_default_bidir_delay 0.0
#@ set test_bsd_default_strobe 95.0
#@ set test_bsd_default_strobe_width 0.0
#@
#@ #
#@ # Test Variable Group:
#@ #
#@ # These variables affects the set_scan_state command.
#@ #
#@
#@ set compile_seqmap_identify_shift_registers_with_synchronous_logic_ascii false
#@
#@ #
#@ # Write_Test Variable Group:
#@ #
#@ # These variables affect output of the WRITE_TEST command.
#@ #
#@ set write_test_input_dont_care_value "X"
#@ set write_test_vector_file_naming_style "%s_%d.%s"
#@ set write_test_scan_check_file_naming_style "%s_schk.%s"
#@ set write_test_pattern_set_naming_style "TC_Syn_%d"
#@ set write_test_max_cycles 0
#@ set write_test_max_scan_patterns 0
#@ # /*retain "tssi_ascii" (equivalent to "tds") for backward compatability */
#@ set write_test_formats {synopsys tssi_ascii tds verilog vhdl wgl}
#@ set write_test_include_scan_cell_info "true"
#@ set write_test_round_timing_values "true"
#@
#@
#@ #
#@ # Schematic and EDIF and Hdl Variable Groups:
#@ #
#@ # These variables affect the schematics created by the
#@ # create_schematic command, define the behavior of the
#@ # DC system EDIF interface, and are for controlling hdl
#@ # reading.
#@ #
#@ set bus_dimension_separator_style {][}
#@ set bus_naming_style {%s[%d]}
#@
#@
#@ #
#@ # Schematic and EDIF Variable Groups:
#@ #
#@ # These variables affect the schematics created by the
#@ # create_schematic command and define the behavior of
#@ # the DC system EDIF interface.
#@ #
#@ set bus_range_separator_style ":"
#@
#@
#@ #
#@ # EDIF and Io Variable Groups:
#@ #
#@ # These variables define the behavior of the DC system EDIF interface and
#@ # define the behavior of the DC system interfaces, i.e. LSI, Mentor, TDL, SGE,#
etc.
#@
#@ set bus_inference_descending_sort "true"
#@ set bus_inference_style ""
#@ set write_name_nets_same_as_ports "false"
#@ #
#@ # Schematic Variable Group:
#@ #
#@ # These variables affect the schematics created by the
#@ # create_schematic command.
#@ #
#@ set font_library "1_25.font"
#@ set generic_symbol_library "generic.sdb"
#@
#@ #
#@ # Io Variable Group:
#@ #
#@ # These variables define the behavior of the DC system
#@ # interfaces, i.e. LSI, Mentor, TDL, SGE, etc.
#@ #
#@ #set db2sge_output_directory ""
#@ #set db2sge_scale "2"
#@ #set db2sge_overwrite "true"
#@ #set db2sge_display_symbol_names "false"
#@
#@
#@ #set db2sge_display_pin_names "false"
#@ #set db2sge_display_instance_names "false"
#@ #set db2sge_use_bustaps "false"
#@ #set db2sge_use_compound_names "true"
#@ #set db2sge_bit_type "std_logic"
#@ #set db2sge_bit_vector_type "std_logic_vector"
#@ #set db2sge_one_name "'1'"
#@ #set db2sge_zero_name "'0'"
#@ #set db2sge_unknown_name "'X'"
#@ #set db2sge_target_xp "false"
#@ #set db2sge_tcf_package_file "synopsys_tcf.vhd"
#@ #set db2sge_use_lib_section ""
#@ #set db2sge_script ""
#@ #set db2sge_command ""
#@
#@ # set equationout_and_sign "*"
#@ # set equationout_or_sign "+"
#@ # set equationout_postfix_negation "true"
#@
#@ # # [wjchen] 2006/08/14: The following variables are obsoleted for DC
simpilification.
#@ #set lsiin_net_name_prefix "NET_"
#@ #set lsiout_inverter_cell ""
#@ #set lsiout_upcase "true"
#@
#@ #set mentor_bidirect_value "INOUT"
#@ #set mentor_do_path ""
#@ #set mentor_input_output_property_name "PINTYPE"
#@ #set mentor_input_value "IN"
#@ #set mentor_logic_one_value "1SF"
#@ #set mentor_logic_zero_one_property_name "INIT"
#@ #set mentor_logic_zero_value "0SF"
#@ #set mentor_output_value "OUT"
#@ #set mentor_primitive_property_name "PRIMITIVE"
#@ #set mentor_primitive_property_value "MODULE"
#@ #set mentor_reference_property_name "COMP"
#@ #set mentor_search_path ""
#@ #set mentor_write_symbols "true"
#@
#@ ## [wjchen] 0606_simp
#@ #set pla_read_create_flip_flop "false"
#@ #set tdlout_upcase "true"
#@
#@ # # [wjchen] 2006/08/14: The following4 variables are obsoleted for DC
simpilification.
#@ # set xnfout_constraints_per_endpoint "50"
#@ # set xnfout_default_time_constraints true
#@ # set xnfout_clock_attribute_style "CLK_ONLY"
#@ # set xnfout_library_version ""
#@
#@ # # [wjchen] 2006/08/11: The following 8 variables are obsoleted for DC
simpilification.
#@ # set xnfin_family "4000"
#@ # set xnfin_ignore_pins "GTS GSR GR"
#@ # set xnfin_dff_reset_pin_name "RD"
#@ # set xnfin_dff_set_pin_name "SD"
#@ # set xnfin_dff_clock_enable_pin_name "CE"
#@ # set xnfin_dff_data_pin_name "D"
#@ # set xnfin_dff_clock_pin_name "C"
#@ # set xnfin_dff_q_pin_name "Q"
#@ #
#@
#@ #
#@ # EDIF Variable Group:
#@ #
#@ # These variables define the behavior of the DC system
#@ # EDIF interface.
#@ #
#@
#@ ##[wjchen] 2006/08/24
#@
#@ # set bus_extraction_style {%s[%d:%d]}
#@
#@ ##[wjchen] 2006/08/24
#@ #set edifin_autoconnect_offpageconnectors "false"
#@ #set edifin_autoconnect_ports "false"
#@ #set edifin_dc_script_flag ""
#@ #set edifin_delete_empty_cells "true"
#@ #set edifin_delete_ripper_cells "true"
#@ #set edifin_ground_net_name ""
#@ #set edifin_ground_net_property_name ""
#@ #set edifin_ground_net_property_value ""
#@ #set edifin_ground_port_name ""
#@ #set edifin_instance_property_name ""
#@ #set edifin_portinstance_disabled_property_name ""
#@ #set edifin_portinstance_disabled_property_value ""
#@ #set edifin_portinstance_property_name ""
#@ #set edifin_power_net_name ""
#@ #set edifin_power_net_property_name ""
#@ #set edifin_power_net_property_value ""
#@ #set edifin_power_port_name ""
#@ #set edifin_use_identifier_in_rename "false"
#@ #set edifin_view_identifier_property_name ""
#@ #set edifin_lib_logic_1_symbol ""
#@ #set edifin_lib_logic_0_symbol ""
#@ #set edifin_lib_in_port_symbol ""
#@ #set edifin_lib_out_port_symbol ""
#@ #set edifin_lib_inout_port_symbol ""
#@ #set edifin_lib_in_osc_symbol ""
#@ #set edifin_lib_out_osc_symbol ""
#@ #set edifin_lib_inout_osc_symbol ""
#@ #set edifin_lib_mentor_netcon_symbol ""
#@ #set edifin_lib_ripper_bits_property ""
#@ #set edifin_lib_ripper_bus_end ""
#@ #set edifin_lib_ripper_cell_name ""
#@ #set edifin_lib_ripper_view_name ""
#@ #set edifin_lib_route_grid 1024
#@ #set edifin_lib_templates {}
#@ #set edifout_dc_script_flag ""
#@ #set edifout_design_name "Synopsys_edif"
#@ #set edifout_designs_library_name "DESIGNS"
#@ #set edifout_display_instance_names "false"
#@ #set edifout_display_net_names "false"
#@ #set edifout_external "true"
#@ #set edifout_external_graphic_view_name "Graphic_representation"
#@ #set edifout_external_netlist_view_name "Netlist_representation"
#@ #set edifout_external_schematic_view_name "Schematic_representation"
#@ #set edifout_ground_name "logic_0"
#@ #set edifout_ground_net_name ""
#@ #set edifout_ground_net_property_name ""
#@ #set edifout_ground_net_property_value ""
#@ #set edifout_ground_pin_name "logic_0_pin"
#@ #set edifout_ground_port_name "GND"
#@ #set edifout_instance_property_name ""
#@ #set edifout_instantiate_ports "false"
#@ #set edifout_library_graphic_view_name "Graphic_representation"
#@ #set edifout_library_netlist_view_name "Netlist_representation"
#@ #set edifout_library_schematic_view_name "Schematic_representation"
#@ #set edifout_merge_libraries "false"
#@ #set edifout_multidimension_arrays "false"
#@ #set edifout_name_oscs_different_from_ports "false"
#@ #set edifout_name_rippers_same_as_wires "false"
#@ #set edifout_netlist_only "false"
#@ #set edifout_no_array "false"
#@ #set edifout_numerical_array_members "false"
#@ #set edifout_pin_direction_in_value ""
#@ #set edifout_pin_direction_inout_value ""
#@ #set edifout_pin_direction_out_value ""
#@ #set edifout_pin_direction_property_name ""
#@ #set edifout_pin_name_property_name ""
#@ #set edifout_portinstance_disabled_property_name ""
#@ #set edifout_portinstance_disabled_property_value ""
#@ #set edifout_portinstance_property_name ""
#@ #set edifout_power_and_ground_representation "cell"
#@ #set edifout_power_name "logic_1"
#@ #set edifout_power_net_name ""
#@ #set edifout_power_net_property_name ""
#@ #set edifout_power_net_property_value ""
#@ #set edifout_power_pin_name "logic_1_pin"
#@ #set edifout_power_port_name "VDD"
#@ #set edifout_skip_port_implementations "false"
#@ #set edifout_target_system ""
#@ #set edifout_top_level_symbol "true"
#@ #set edifout_translate_origin ""
#@ #set edifout_unused_property_value ""
#@ #set edifout_write_attributes "false"
#@ #set edifout_write_constraints "false"
#@ #set edifout_write_properties_list {}
#@ #set read_name_mapping_nowarn_libraries {}
#@ #set write_name_mapping_nowarn_libraries {}
#@
#@ #
#@ # Hdl and Vhdlio Variable Groups:
#@ #
#@ # These variables are for controlling hdl reading, writing,
#@ # and optimizing.
#@ #
#@ set hdlin_unified_rtl_read "FALSE"
#@ set hdlin_vcs_home ""
#@ set hdlin_legacy_naming "FALSE"
#@ set hdlin_naming_register_suffix_on_field "FALSE"
#@ set hdlin_enable_upf_compatible_naming "FALSE"
#@ set hdlin_auto_save_templates "FALSE"
#@ set hdlin_generate_naming_style "%s_%d"
#@ set hdlin_enable_relative_placement "rb"
#@ set hdlin_mux_rp_limit "128x4"
#@ set hdlin_generate_separator_style "_"
#@ set hdlin_ignore_textio_constructs "TRUE"
#@ set hdlin_infer_function_local_latches "FALSE"
#@ set hdlin_infer_local_sync_enable_only "FALSE"
#@ set hdlin_keep_signal_name "all_driving"
#@ set hdlin_module_arch_name_splitting "FALSE"
#@ set hdlin_preserve_sequential "none"
#@ set hdlin_presto_net_name_prefix "N"
#@ set hdlin_presto_cell_name_prefix "C"
#@ set hdlin_v2005_replication_semantics "TRUE"
#@ set hdlin_strict_verilog_reader "FALSE"
#@ set hdlin_prohibit_nontri_multiple_drivers "TRUE"
#@ if { $synopsys_program_name == "de_shell" } {
#@ set hdlin_elab_errors_deep "TRUE"
#@ } else {
#@ set hdlin_elab_errors_deep "FALSE"
#@ }
#@ set hdlin_mux_size_min 2
#@ set hdlin_subprogram_default_values "FALSE"
#@ set hdlin_field_naming_style ""
#@ set hdlin_upcase_names "FALSE"
#@ set hdlin_sv_union_member_naming "FALSE"
#@ set hdlin_enable_hier_map "FALSE"
#@ set hdlin_sv_interface_only_modules ""
#@ set port_dimension_mismatch_error "TRUE"
#@ set hdlin_elaborate_black_box ""
#@ set hdlin_elaborate_black_box_all_except ""
#@ set hdlin_report_info "TRUE"
#@ set hdlin_report_time 1
#@ set hdlin_report_mem 100
#@ set hdlin_intermediate_file_method "legacy"
#@ set hdlin_sv_enable_rtl_attributes "FALSE"
#@ set hdlin_vhdl_std 2008
#@ set hdlin_vhdl93_concat "TRUE"
#@ set hdlin_vhdl_syntax_extensions "FALSE"
#@ set hdlin_analyze_verbose_mode 0
#@ set hdlin_report_sequential_pruning "FALSE"
#@ set hdlin_sv_enforce_standalone_generate_blocks "TRUE"
#@ set hdlin_vrlg_std 2005
#@ set hdlin_sverilog_std 2017
#@ set hdlin_while_loop_iterations 4096
#@ set hdlin_reporting_level "basic"
#@ set hdlin_autoread_verilog_extensions ".v"
#@ set hdlin_autoread_sverilog_extensions ".sv .sverilog"
#@ set hdlin_autoread_vhdl_extensions ".vhd .vhdl"
#@ set hdlin_autoread_exclude_extensions ""
#@ set hdlin_analyze_prioritize_command_line_defines "TRUE"
#@
#@ set bus_minus_style "-%d"
#@ set hdlin_latch_always_async_set_reset FALSE
#@ set hdlin_ff_always_sync_set_reset FALSE
#@ set hdlin_ff_always_async_set_reset TRUE
#@ set hdlin_always_fsm_complete FALSE
#@ set hdlin_failsafe_fsm TRUE
#@ set hdlin_check_input_netlist FALSE
#@ set hdlin_check_no_latch FALSE
#@ set hdlin_mux_for_array_read_sparseness_limit 90
#@ set hdlin_tic_tic_discards_whitespace TRUE
#@ set hdlin_infer_mux "default"
#@ set hdlin_mux_oversize_ratio 100
#@ set hdlin_mux_size_limit 32
#@ set hdlin_mux_size_only 1
#@ set hdlin_infer_multibit "default_none"
#@ set hdlin_enable_rtldrc_info "false"
#@ set hdlin_enable_ieee_1735_support "true"
#@ set hdlin_interface_port_ABI 2
#@ set hdlin_interface_port_downto "FALSE"
#@ set hdlin_shorten_long_module_name "false"
#@ set hdlin_module_name_limit 256
#@ set hdlin_enable_assertions "FALSE"
#@ set hdlin_enable_configurations "FALSE"
#@ set hdlin_sv_blackbox_modules ""
#@ set hdlin_sv_tokens "FALSE"
#@ set hdlin_enable_persistent_macros "FALSE"
#@ set hdlin_persistent_macros_filename "syn_auto_generated_macro_file.sv"
#@ set hdlin_sv_packages "dont_chain"
#@ set hdlin_verification_priority "FALSE"
#@ set hdlin_enable_elaborate_ref_linking "FALSE"
#@ set hdlin_enable_hier_naming "FALSE"
#@ set hdlin_enable_elaborate_update "true"
#@ set hdlin_vhdl_mixed_language_instantiation "FALSE"
#@ set hdlin_netlist_unloaded_signals "FALSE"
#@ set hdlin_generate_operator_sharing_data "FALSE"
#@ set hdl_preferred_license ""
#@ set hdl_keep_licenses "true"
#@ set hlo_resource_allocation "constraint_driven"
#@ set sdfout_top_instance_name ""
#@ set sdfout_time_scale 1.0
#@ set sdfout_min_rise_net_delay 0.
#@ set sdfout_min_fall_net_delay 0.
#@ set sdfout_min_rise_cell_delay 0.
#@ set sdfout_min_fall_cell_delay 0.
#@ set sdfout_write_to_output "false"
#@ set sdfout_allow_non_positive_constraints "false"
#@ set sdfin_top_instance_name ""
#@ set sdfin_min_rise_net_delay 0.
#@ set sdfin_min_fall_net_delay 0.
#@ set sdfin_min_rise_cell_delay 0.
#@ set sdfin_min_fall_cell_delay 0.
#@ set sdfin_rise_net_delay_type "maximum"
#@ set sdfin_fall_net_delay_type "maximum"
#@ set sdfin_rise_cell_delay_type "maximum"
#@ set sdfin_fall_cell_delay_type "maximum"
#@ set site_info_file ${synopsys_root}/admin/license/site_info
#@ if { [string compare $dc_shell_mode "tcl"] == 0 } {
#@ alias site_info sh cat $site_info_file
#@ } else {
#@ alias site_info "sh cat site_info_file"
#@ }
#@ set template_naming_style "%s_%p"
#@ set template_parameter_style "%s%d"
#@ set template_separator_style "_"
#@ set verilogout_equation "false"
#@ set verilogout_ignore_case "false"
#@ set verilogout_no_tri "false"
#@ set verilogout_inout_is_in "false"
#@ set verilogout_single_bit "false"
#@ set verilogout_higher_designs_first "FALSE"
#@ # set verilogout_levelize "FALSE"
#@ set verilogout_include_files {}
#@ set verilogout_unconnected_prefix "SYNOPSYS_UNCONNECTED_"
#@ set verilogout_show_unconnected_pins "FALSE"
#@ set verilogout_no_negative_index "FALSE"
#@ #set enable_2003.03_verilog_reader TRUE
#@ # to have a net instead of 1'b0 and 1'b1 in inouts:
#@ set verilogout_indirect_inout_connection "FALSE"
#@
#@ # set vhdlout_architecture_name "SYN_%a_%u"
#@ set vhdlout_bit_type "std_logic"
#@ # set vhdlout_bit_type_resolved "TRUE"
#@ set vhdlout_bit_vector_type "std_logic_vector"
#@ # set vhdlout_conversion_functions {}
#@ # set vhdlout_dont_write_types "FALSE"
#@ set vhdlout_equations "FALSE"
#@ set vhdlout_one_name "'1'"
#@ set vhdlout_package_naming_style "CONV_PACK_%d"
#@ set vhdlout_preserve_hierarchical_types "VECTOR"
#@ set vhdlout_separate_scan_in "FALSE"
#@ set vhdlout_single_bit "USER"
#@ set vhdlout_target_simulator ""
#@ set vhdlout_three_state_name "'Z'"
#@ set vhdlout_three_state_res_func ""
#@ # set vhdlout_time_scale 1.0
#@ set vhdlout_top_configuration_arch_name "A"
#@ set vhdlout_top_configuration_entity_name "E"
#@ set vhdlout_top_configuration_name "CFG_TB_E"
#@ set vhdlout_unknown_name "'X'"
#@ set vhdlout_upcase "FALSE"
#@ set vhdlout_use_packages {IEEE.std_logic_1164}
#@ set vhdlout_wired_and_res_func ""
#@ set vhdlout_wired_or_res_func ""
#@ set vhdlout_write_architecture "TRUE"
#@ set vhdlout_write_components "TRUE"
#@ set vhdlout_write_entity "TRUE"
#@ set vhdlout_write_top_configuration "FALSE"
#@ # set vhdlout_synthesis_off "TRUE"
#@ set vhdlout_zero_name "'0'"
#@ #set vhdlout_levelize "FALSE"
#@ set vhdlout_dont_create_dummy_nets "FALSE"
#@ set vhdlout_follow_vector_direction "TRUE"
#@
#@
#@ # vhdl netlist reader variables
#@ set enable_vhdl_netlist_reader "FALSE"
#@
#@ # variables pertaining to VHDL library generation
#@ set vhdllib_timing_mesg "true"
#@ set vhdllib_timing_xgen "false"
#@ set vhdllib_timing_checks "true"
#@ set vhdllib_negative_constraint "false"
#@ set vhdllib_glitch_handle "true"
#@ set vhdllib_pulse_handle "use_vhdllib_glitch_handle"
#@ # /*vhdllib_architecture = {FTBM, UDSM, FTSM, FTGS, VITAL}; */
#@ set vhdllib_architecture {VITAL}
#@ set vhdllib_tb_compare 0
#@ set vhdllib_tb_x_eq_dontcare FALSE
#@ set vhdllib_logic_system "ieee-1164"
#@ set vhdllib_logical_name ""
#@
#@ # variables pertaining to technology library processing
#@ set read_db_lib_warnings FALSE
#@ set read_translate_msff TRUE
#@ set libgen_max_differences -1
#@
#@ #
#@ # Gui Variable Group
#@ # used for design_vision and psyn_gui
#@ #
#@ set gui_auto_start 0
#@ set gui_start_option_no_windows 0
#@ group_variable gui_variables "gui_auto_start"
#@ group_variable gui_variables "gui_start_option_no_windows"
#@
#@ #
#@ # If you like emacs, uncomment the next line
#@ # set text_editor_command "emacs -fn 8x13 %s &" ;
#@
#@ # You can delete pairs from this list, but you can't add new ones
#@ # unless you also update the UIL files. So, customers can not add
#@ # dialogs to this list, only Synopsys can do that.
#@ #
#@ set view_independent_dialogs { "test_report" " Test Reports " \
#@ "report_print" " Report " \
#@ "report_options" " Report Options " \
#@ "report_win" " Report Output " \
#@ "manual_page" " Manual Page " }
#@
#@ # if color Silicon Graphics workstation
#@ if { [info exists x11_vendor_string] && [info exists x11_is_color]} {
#@ if { $x11_vendor_string == "Silicon" && $x11_is_color == "true" } {
#@ set x11_set_cursor_foreground "magenta"
#@ set view_use_small_cursor "true"
#@ set view_set_selecting_color "white"
#@ }
#@ }
#@
#@ # if running on an Apollo machine
#@ set found_x11_vendor_string_apollo 0
#@ set found_arch_apollo 0
#@ if { [info exists x11_vendor_string]} {
#@ if { $x11_vendor_string == "Apollo "} {
#@ set found_x11_vendor_string_apollo 1
#@ }
#@ }
#@ if { [info exists arch]} {
#@ if { $arch == "apollo"} {
#@ set found_arch_apollo 1
#@ }
#@ }
#@ if { $found_x11_vendor_string_apollo == 1 || $found_arch_apollo == 1} {
#@ set enable_page_mode "false"
#@ } else {
#@ set enable_page_mode "true"
#@ }
#@
#@ # don't work around this bug on the Apollo
#@ if { $found_x11_vendor_string_apollo == 1} {
#@ set view_extend_thick_lines "false"
#@ } else {
#@ set view_extend_thick_lines "true"
#@ }
#@
#@ #
#@ # Suffix Variable Group:
#@ #
#@ # Suffixes recognized by the Design Analyzer menu in file choices
#@ #
#@ if { $synopsys_program_name == "design_vision" || $synopsys_program_name ==
"psyn_gui" } {
#@ # For star 93040 do NOT include NET in list, 108991 : pdb suffix added
#@ set view_read_file_suffix {db gdb sdb pdb edif eqn fnc lsi mif pla st tdl v
vhd vhdl xnf}
#@ } else {
#@ set view_read_file_suffix {db gdb sdb edif eqn fnc lsi mif NET pla st tdl v
vhd vhdl xnf}
#@ }
#@
#@ set view_analyze_file_suffix {v vhd vhdl}
#@ set view_write_file_suffix {gdb db sdb do edif eqn fnc lsi NET neted pla st
tdl v vhd vhdl xnf}
#@ set view_execute_script_suffix {.script .scr .dcs .dcv .dc .con}
#@ set view_arch_types {sparcOS5 hpux10 rs6000 sgimips}
#@
#@ #
#@ # links_to_layout Variable Group:
#@ #
#@ # These variables affect the read_timing, write_timing
#@ # set_annotated_delay, compile, create_wire_load and reoptimize_design
#@ # commands.
#@ #
#@ if {$synopsys_program_name != "dc_sms_shell"} {
#@ set auto_wire_load_selection "true"
#@ set compile_create_wire_load_table "false"
#@ }
#@ set rtl_load_resistance_factor 0.0
#@
#@ # power Variable Group:
#@ #
#@ # These variables affect the behavior of power optimization and analysis.
#@ #
#@
#@ set power_keep_license_after_power_commands "false"
#@ set power_rtl_saif_file "power_rtl.saif"
#@ set power_sdpd_saif_file "power_sdpd.saif"
#@ set power_preserve_rtl_hier_names "false"
#@ set power_do_not_size_icg_cells "true"
#@ set power_hdlc_do_not_split_cg_cells "false"
#@ set power_cg_flatten "false"
#@ set power_opto_extra_high_dynamic_power_effort "false"
#@ set power_default_static_probability 0.5
#@ set power_default_toggle_rate 0.1
#@ set power_default_toggle_rate_type "fastest_clock"
#@ set power_model_preference "nlpm"
#@ set power_sa_propagation_effort "low"
#@ set power_sa_propagation_verbose "false"
#@ set power_fix_sdpd_annotation "true"
#@ set power_fix_sdpd_annotation_verbose "false"
#@ set power_sdpd_message_tolerance 0.00001
#@ set do_operand_isolation "false"
#@ set power_cg_module_naming_style ""
#@ set power_cg_cell_naming_style ""
#@ set power_cg_gated_clock_net_naming_style ""
#@ set power_rclock_use_asynch_inputs "false"
#@ set power_rclock_inputs_use_clocks_fanout "true"
#@ set power_rclock_unrelated_use_fastest "true"
#@ set power_lib2saif_rise_fall_pd "false"
#@ set power_min_internal_power_threshold ""
#@
#@
#@ # SystemC related variables
#@ set systemcout_levelize "true"
#@ set systemcout_debug_mode "false"
#@
#@ # ACS Variables
#@ if { [info exists acs_work_dir] } {
#@ set acs_area_report_suffix "area"
#@ set acs_autopart_max_area "0.0"
#@ set acs_autopart_max_percent "0.0"
#@ set acs_budgeted_cstr_suffix "con"
#@ set acs_compile_script_suffix "autoscr"
#@ set acs_constraint_file_suffix "con"
#@ set acs_cstr_report_suffix "cstr"
#@ set acs_db_suffix "db"
#@ set acs_dc_exec ""
#@ set acs_default_pass_name "pass"
#@ set acs_exclude_extensions {}
#@ set acs_exclude_list [list $synopsys_root]
#@ set acs_global_user_compile_strategy_script "default"
#@ set acs_hdl_verilog_define_list {}
#@ set acs_hdl_source {}
#@ set acs_lic_wait 0
#@ set acs_log_file_suffix "log"
#@ set acs_make_args "set acs_make_args"
#@ set acs_make_exec "gmake"
#@ set acs_makefile_name "Makefile"
#@ set acs_num_parallel_jobs 1
#@ set acs_override_report_suffix "report"
#@ set acs_override_script_suffix "scr"
#@ set acs_qor_report_suffix "qor"
#@ set acs_timing_report_suffix "tim"
#@ set acs_use_autopartition "false"
#@ set acs_use_default_delays "false"
#@ set acs_user_budgeting_script "budget.scr"
#@ set acs_user_compile_strategy_script_suffix "compile"
#@ set acs_verilog_extensions {.v}
#@ set acs_vhdl_extensions {.vhd}
#@ set acs_work_dir [pwd]
#@ set check_error_list [list \
#@ CMD-004 CMD-006 CMD-007 CMD-008 CMD-009 CMD-010 CMD-011 CMD-012 \
#@ CMD-014 CMD-015 CMD-016 CMD-019 CMD-026 CMD-031 CMD-037 \
#@ DB-1 \
#@ DCSH-11 \
#@ DES-001 \
#@ ACS-193 \
#@ FILE-1 FILE-2 FILE-3 FILE-4 \
#@ LINK-7 LINT-7 LINT-20 LNK-023 \
#@ OPT-100 OPT-101 OPT-102 OPT-114 OPT-124 OPT-127 OPT-128 OPT-155 \
#@ OPT-157 OPT-181 OPT-462 \
#@ UI-11 UI-14 UI-15 UI-16 UI-17 UI-19 UI-20 UI-21 UI-22 UI-23 UI-40 \
#@ UI-41 \
#@ UID-4 UID-6 UID-7 UID-8 UID-9 UID-13 UID-14 UID-15 UID-19 UID-20 \
#@ UID-25 UID-27 UID-28 UID-29 UID-30 UID-32 UID-58 UID-87 UID-103 \
#@ UID-109 UID-270 UID-272 UID-403 UID-440 UID-444 \
#@ UIO-2 UIO-3 UIO-4 UIO-25 UIO-65 UIO-66 UIO-75 UIO-94 UIO-95 \
#@ EQN-6 EQN-11 EQN-15 EQN-16 EQN-18 EQN-20 \
#@ ]
#@ set ilm_preserve_core_constraints "false"
#@ }
#@
#@ #
#@ #
#@ # DesignTime Variable Group
#@ #
#@ # The variables which affect the DesignTime timing engine
#@ #
#@
#@ set case_analysis_log_file ""
#@ set case_analysis_sequential_propagate "false"
#@ set create_clock_no_input_delay "false"
#@ set disable_auto_time_borrow "false"
#@ set disable_case_analysis "false"
#@ set disable_conditional_mode_analysis "false"
#@ set disable_library_transition_degradation "false"
#@ set dont_bind_unused_pins_to_logic_constant "false"
#@ set enable_slew_degradation "true"
#@ set high_fanout_net_pin_capacitance 1.000000
#@ set high_fanout_net_threshold 1000
#@ set lib_thresholds_per_lib "true"
#@ set rc_adjust_rd_when_less_than_rnet "true"
#@ set rc_ceff_delay_min_diff_ps 0.250000
#@ set rc_degrade_min_slew_when_rd_less_than_rnet "false"
#@ set rc_driver_model_max_error_pct 0.160000
#@ set rc_filter_rd_less_than_rnet "true"
#@ set rc_input_threshold_pct_fall 50.000000
#@ set rc_input_threshold_pct_rise 50.000000
#@ set rc_output_threshold_pct_fall 50.000000
#@ set rc_output_threshold_pct_rise 50.000000
#@ set rc_rd_less_than_rnet_threshold 0.450000
#@ set rc_slew_derate_from_library 1.000000
#@ set rc_slew_lower_threshold_pct_fall 20.000000
#@ set rc_slew_lower_threshold_pct_rise 20.000000
#@ set rc_slew_upper_threshold_pct_fall 80.000000
#@ set rc_slew_upper_threshold_pct_rise 80.000000
#@ set timing_disable_cond_default_arcs "false"
#@ #timing_enable_multiple_clocks_per_reg is on by default
#@ #set timing_enable_multiple_clocks_per_reg "false"
#@ set timing_report_attributes {dont_touch dont_use map_only size_only ideal_net
infeasible_paths}
#@ set timing_self_loops_no_skew "false"
#@ set when_analysis_permitted "true"
#@ set when_analysis_without_case_analysis "false"
#@
#@
#@ #
#@ # Variable Group Definitions:
#@ #
#@ # The group_variable() command groups variables for display
#@ # in the "File/Defaults" dialog and defines groups of variables
#@ # for the list() command.
#@ #
#@
#@ set enable_instances_in_report_net "true"
#@ # Set report options env variables
#@ set view_report_interactive "true"
#@ set view_report_output2file "false"
#@ set view_report_append "true"
#@
#@ if { $synopsys_program_name != "ptxr" } {
#@
#@ group_variable report_variables "enable_instances_in_report_net"
#@ group_variable report_variables "view_report_interactive"
#@ group_variable report_variables "view_report_output2file"
#@ group_variable report_variables "view_report_append"
#@
#@ # "links_to_layout" variables are used by multiple commands
#@ # auto_wire_load_selection is also in the "compile" variable group.
#@ group_variable links_to_layout "auto_wire_load_selection"
#@
#@ # variables starting with "compile" are also in the compile variable group
#@ group_variable links_to_layout
"compile_dont_touch_annotated_cell_during_inplace_opt"
#@
#@ group_variable links_to_layout
"compile_update_annotated_delays_during_inplace_opt"
#@ group_variable links_to_layout "compile_create_wire_load_table"
#@
#@ group_variable links_to_layout "reoptimize_design_changed_list_file_name"
#@ group_variable links_to_layout "sdfout_allow_non_positive_constraints"
#@
#@ } ;# $synopsys_program_name != "ptxr"
#@
#@ #
#@ # to find the XErrorDB and XKeySymDB for X11 file
#@ set motif_files ${synopsys_root}/admin/setup
#@ # set filename for logging input file
#@ set filename_log_file "filenames.log"
#@ # whether to delete the filename log after the normal exits
#@ set exit_delete_filename_log_file "true"
#@
#@ # executable to fire off RTLA/BCV
#@ set xterm_executable "xterm"
#@
#@ if { $synopsys_program_name != "ptxr" } {
#@
#@ # "system" variables are used by multiple commands
#@ group_variable system auto_link_disable
#@ group_variable system auto_link_options
#@ group_variable system command_log_file
#@ group_variable system company
#@ group_variable system compatibility_version
#@
#@ if { [string compare $dc_shell_mode "default"] == 0 } {
#@ group_variable system "current_design"
#@ group_variable system "current_instance"
#@ group_variable system "dc_shell_status"
#@ } else {
#@ set current_design ""
#@ set current_instance ""
#@ group_variable system "current_design"
#@ group_variable system "current_instance"
#@ }
#@
#@ group_variable system "designer"
#@ group_variable system "echo_include_commands"
#@ group_variable system "enable_page_mode"
#@ group_variable system "change_names_update_inst_tree"
#@ group_variable system "change_names_dont_change_bus_members"
#@ group_variable system "default_name_rules"
#@ group_variable system "verbose_messages"
#@ group_variable system "link_library"
#@ group_variable system "link_force_case"
#@ group_variable system "search_path"
#@ group_variable system "synthetic_library"
#@ group_variable system "target_library"
#@ group_variable system "uniquify_naming_style"
#@ group_variable system "suppress_errors"
#@ group_variable system "find_converts_name_lists"
#@ group_variable system "filename_log_file"
#@ group_variable system "exit_delete_filename_log_file"
#@ group_variable system "syntax_check_status"
#@ group_variable system "context_check_status"
#@
#@ #/* "compile" variables are used by the compile command */
#@ group_variable compile "compile_assume_fully_decoded_three_state_busses"
#@ group_variable compile "compile_no_new_cells_at_top_level"
#@ group_variable compile
"compile_dont_touch_annotated_cell_during_inplace_opt"
#@ group_variable compile "reoptimize_design_changed_list_file_name"
#@ group_variable compile "compile_create_wire_load_table"
#@ group_variable compile "compile_update_annotated_delays_during_inplace_opt"
#@ group_variable compile "compile_instance_name_prefix"
#@ group_variable compile "compile_instance_name_suffix"
#@ group_variable compile "compile_negative_logic_methodology"
#@ group_variable compile "compile_disable_hierarchical_inverter_opt"
#@
#@ group_variable compile "port_complement_naming_style"
#@ group_variable compile "auto_wire_load_selection"
#@ group_variable compile "rtl_load_resistance_factor"
#@ group_variable compile "compile_implementation_selection"
#@ group_variable compile "compile_use_low_timing_effort"
#@ group_variable compile "compile_fix_cell_degradation"
#@ group_variable compile "compile_preserve_subdesign_interfaces"
#@ group_variable compile
"compile_enable_constant_propagation_with_no_boundary_opt"
#@ group_variable compile "compile_delete_unloaded_sequential_cells"
#@ group_variable compile "enable_recovery_removal_arcs"
#@ group_variable compile "compile_checkpoint_phases"
#@ group_variable compile "compile_cpu_limit"
#@ group_variable compile "compile_top_all_paths"
#@ group_variable compile "compile_top_all_paths"
#@ group_variable compile "compile_top_acs_partition"
#@ group_variable compile "default_port_connection_class"
#@ group_variable compile "compile_retime_license_behavior"
#@ group_variable compile "dont_touch_nets_with_size_only_cells"
#@ group_variable compile "compile_seqmap_no_scan_cell"
#@
#@ if { $synopsys_program_name == "dc_shell" &&
[shell_is_in_topographical_mode] } {
#@ group_variable compile "dct_prioritize_area_correlation"
#@ group_variable compile "compile_error_on_missing_physical_cells"
#@ }
#@
#@ # "multibit" variables are used by the the multibit mapping functionality
#@
#@ group_variable multibit "bus_multiple_separator_style"
#@
#@ # "ilm" variables are used by Interface Logic Model functionality
#@
#@ group_variable ilm "ilm_ignore_percentage"
#@
#@ # "estimate" variables are used by the estimate command
#@ # The estimate command also recognizes the "compile" variables.
#@ group_variable estimate "estimate_resource_preference"
#@
#@ # "synthetic_library" variables
#@ group_variable synlib "cache_dir_chmod_octal"
#@ group_variable synlib "cache_file_chmod_octal"
#@ group_variable synlib "cache_read"
#@ group_variable synlib "cache_read_info"
#@ group_variable synlib "cache_write"
#@ group_variable synlib "cache_write_info"
#@ group_variable synlib "synlib_dont_get_license"
#@ group_variable synlib "synlib_wait_for_design_license"
#@ group_variable synlib "synthetic_library"
#@
#@ # "insert_dft" variables are used by the insert_dft and preview_dft commands
#@ #group_variable insert_dft "test_default_client_order"
#@ group_variable insert_dft "insert_dft_clean_up"
#@ group_variable insert_dft "insert_test_design_naming_style"
#@ group_variable insert_dft "test_clock_port_naming_style"
#@ group_variable insert_dft "test_default_min_fault_coverage"
#@ group_variable insert_dft "test_scan_clock_a_port_naming_style"
#@ group_variable insert_dft "test_scan_clock_b_port_naming_style"
#@ group_variable insert_dft "test_scan_clock_port_naming_style"
#@ group_variable insert_dft "test_scan_enable_inverted_port_naming_style"
#@ group_variable insert_dft "test_scan_enable_port_naming_style"
#@ group_variable insert_dft "test_scan_in_port_naming_style"
#@ group_variable insert_dft "test_scan_out_port_naming_style"
#@ group_variable insert_dft "test_non_scan_clock_port_naming_style"
#@ group_variable insert_dft "test_dedicated_subdesign_scan_outs"
#@ group_variable insert_dft "test_disable_find_best_scan_out"
#@ group_variable insert_dft "test_dont_fix_constraint_violations"
#@ group_variable insert_dft "test_isolate_hier_scan_out"
#@ group_variable insert_dft "test_mode_port_naming_style"
#@ group_variable insert_dft "test_mode_port_inverted_naming_style"
#@ group_variable insert_dft "compile_dont_use_dedicated_scanout"
#@ group_variable insert_dft "test_mux_constant_si"
#@
#@ # "preview_scan" variables are used by the preview_scan command
#@ group_variable preview_scan "test_preview_scan_shows_cell_types"
#@ group_variable preview_scan "test_scan_link_so_lockup_key"
#@ group_variable preview_scan "test_scan_link_wire_key"
#@ group_variable preview_scan "test_scan_segment_key"
#@ group_variable preview_scan "test_scan_true_key"
#@
#@ # "bsd" variables are used by the check_bsd and write_bsdl commands
#@ group_variable bsd "test_user_test_data_register_naming_style"
#@ group_variable bsd "test_user_defined_instruction_naming_style"
#@ group_variable bsd "test_bsdl_default_suffix_name"
#@ group_variable bsd "test_bsdl_max_line_length"
#@ group_variable bsd "test_cc_ir_masked_bits"
#@ group_variable bsd "test_cc_ir_value_of_masked_bits"
#@
#@ group_variable bsd "test_bsd_allow_tolerable_violations"
#@ group_variable bsd "test_bsd_optimize_control_cell"
#@ group_variable bsd "test_bsd_control_cell_drive_limit"
#@ group_variable bsd "test_bsd_manufacturer_id"
#@ group_variable bsd "test_bsd_part_number"
#@ group_variable bsd "test_bsd_version_number"
#@ group_variable bsd "bsd_max_in_switching_limit"
#@ group_variable bsd "bsd_max_out_switching_limit"
#@
#@ # testmanager variables
#@ group_variable testmanager "multi_pass_test_generation"
#@
#@ # "testsim" variables
#@ # group_variable testsim "testsim_print_stats_file"
#@
#@ # "test" variables
#@ group_variable test "test_default_bidir_delay"
#@ group_variable test "test_default_delay"
#@ group_variable test "test_default_period"
#@ group_variable test "test_default_strobe"
#@ group_variable test "test_default_strobe_width"
#@ group_variable test "test_capture_clock_skew"
#@ group_variable test "test_allow_clock_reconvergence"
#@ group_variable test "test_check_port_changes_in_capture"
#@ group_variable test "test_stil_max_line_length"
#@ group_variable test "test_infer_slave_clock_pulse_after_capture"
#@ group_variable test "test_rtldrc_latch_check_style"
#@ group_variable test "test_enable_capture_checks"
#@
#@ # "write_test" variables are used by the write_test command
#@ group_variable write_test "write_test_formats"
#@ group_variable write_test "write_test_include_scan_cell_info"
#@ group_variable write_test "write_test_input_dont_care_value"
#@ group_variable write_test "write_test_max_cycles"
#@ group_variable write_test "write_test_max_scan_patterns"
#@ group_variable write_test "write_test_pattern_set_naming_style"
#@ group_variable write_test "write_test_scan_check_file_naming_style"
#@ group_variable write_test "write_test_vector_file_naming_style"
#@ group_variable write_test "write_test_round_timing_values"
#@
#@ group_variable view "test_design_analyzer_uses_insert_scan"
#@
#@ # "io" variables are used by the read, read_lib, db2sge and write commands
#@ group_variable io "bus_inference_descending_sort"
#@ group_variable io "bus_inference_style"
#@ #group_variable io "db2sge_output_directory"
#@ #group_variable io "db2sge_scale"
#@ #group_variable io "db2sge_overwrite"
#@ #group_variable io "db2sge_display_symbol_names"
#@ #group_variable io "db2sge_display_pin_names"
#@ #group_variable io "db2sge_display_instance_names"
#@ #group_variable io "db2sge_use_bustaps"
#@ #group_variable io "db2sge_use_compound_names"
#@ #group_variable io "db2sge_bit_type"
#@ #group_variable io "db2sge_bit_vector_type"
#@ #group_variable io "db2sge_one_name"
#@ #group_variable io "db2sge_zero_name"
#@ #group_variable io "db2sge_unknown_name"
#@ #group_variable io "db2sge_target_xp"
#@ #group_variable io "db2sge_tcf_package_file"
#@ #group_variable io "db2sge_use_lib_section"
#@ #group_variable io "db2sge_script"
#@ #group_variable io "db2sge_command"
#@
#@ # group_variable io "equationout_and_sign"
#@ # group_variable io "equationout_or_sign"
#@ # group_variable io "equationout_postfix_negation"
#@
#@ # group_variable io "lsiin_net_name_prefix"
#@ # group_variable io "lsiout_inverter_cell"
#@ # group_variable io "lsiout_upcase"
#@
#@ #group_variable io "mentor_bidirect_value"
#@ #group_variable io "mentor_do_path"
#@ #group_variable io "mentor_input_output_property_name"
#@ #group_variable io "mentor_input_value"
#@ #group_variable io "mentor_logic_one_value"
#@ #group_variable io "mentor_logic_zero_one_property_name"
#@ #group_variable io "mentor_logic_zero_value"
#@ #group_variable io "mentor_output_value"
#@ #group_variable io "mentor_primitive_property_name"
#@ #group_variable io "mentor_primitive_property_value"
#@ #group_variable io "mentor_reference_property_name"
#@ #group_variable io "mentor_search_path"
#@ #group_variable io "mentor_write_symbols"
#@ # group_variable io "pla_read_create_flip_flop"
#@ # group_variable io "tdlout_upcase"
#@ group_variable io "write_name_nets_same_as_ports"
#@
#@ # # [wjchen] 2006/08/14: The following 4 variables are obsoleted for DC
simpilification.
#@
#@ # group_variable io "xnfout_constraints_per_endpoint"
#@ # group_variable io "xnfout_default_time_constraints"
#@ # group_variable io "xnfout_clock_attribute_style"
#@ # group_variable io "xnfout_library_version"
#@
#@ # # [wjchen] 2006/08/11: The following 8 variables are obsoleted for DC
simpilification.
#@ # group_variable io "xnfin_family"
#@ # group_variable io "xnfin_ignore_pins"
#@ # group_variable io "xnfin_dff_reset_pin_name"
#@ # group_variable io "xnfin_dff_set_pin_name"
#@ # group_variable io "xnfin_dff_clock_enable_pin_name"
#@ # group_variable io "xnfin_dff_data_pin_name"
#@ # group_variable io "xnfin_dff_clock_pin_name" ;
#@ # group_variable io "xnfin_dff_q_pin_name";
#@
#@ group_variable io "sdfin_min_rise_net_delay" ;
#@ group_variable io "sdfin_min_fall_net_delay" ;
#@ group_variable io "sdfin_min_rise_cell_delay" ;
#@ group_variable io "sdfin_min_fall_cell_delay" ;
#@ group_variable io "sdfin_rise_net_delay_type" ;
#@ group_variable io "sdfin_fall_net_delay_type" ;
#@ group_variable io "sdfin_rise_cell_delay_type" ;
#@ group_variable io "sdfin_fall_cell_delay_type" ;
#@ group_variable io "sdfin_top_instance_name" ;
#@ group_variable io "sdfout_time_scale" ;
#@ group_variable io "sdfout_write_to_output" ;
#@ group_variable io "sdfout_top_instance_name" ;
#@ group_variable io "sdfout_min_rise_net_delay" ;
#@ group_variable io "sdfout_min_fall_net_delay" ;
#@ group_variable io "sdfout_min_rise_cell_delay" ;
#@ group_variable io "sdfout_min_fall_cell_delay" ;
#@ group_variable io "read_db_lib_warnings" ;
#@ group_variable io "read_translate_msff" ;
#@ group_variable io "libgen_max_differences" ;
#@
#@ # #[wjchen] 2006/08/22: The following variables are hidden for XG mode for DC
simpilification.
#@ # group_variable io "read_name_mapping_nowarn_libraries" ;
#@ # group_variable io "write_name_mapping_nowarn_libraries" ;
#@
#@
#@ # "edif" variables are used by the EDIF format read, read_lib, write,
#@ # and write_lib commands
#@ # group_variable edif "bus_dimension_separator_style" ;
#@ # group_variable edif "bus_extraction_style" ;
#@ group_variable edif "bus_inference_descending_sort" ;
#@ group_variable edif "bus_inference_style" ;
#@ group_variable edif "bus_naming_style" ;
#@ group_variable edif "bus_range_separator_style" ;
#@ # group_variable edif "edifin_autoconnect_offpageconnectors" ;
#@ # group_variable edif "edifin_autoconnect_ports" ;
#@ # group_variable edif "edifin_delete_empty_cells" ;
#@ # group_variable edif "edifin_delete_ripper_cells" ;
#@ # group_variable edif "edifin_ground_net_name" ;
#@ # group_variable edif "edifin_ground_net_property_name" ;
#@ # group_variable edif "edifin_ground_net_property_value" ;
#@ # group_variable edif "edifin_ground_port_name" ;
#@ # group_variable edif "edifin_instance_property_name" ;
#@ # group_variable edif "edifin_portinstance_disabled_property_name" ;
#@ # group_variable edif "edifin_portinstance_disabled_property_value" ;
#@ # group_variable edif "edifin_portinstance_property_name" ;
#@ # group_variable edif "edifin_power_net_name" ;
#@ # group_variable edif "edifin_power_net_property_name" ;
#@ # group_variable edif "edifin_power_net_property_value" ;
#@ # group_variable edif "edifin_power_port_name" ;
#@ # group_variable edif "edifin_use_identifier_in_rename" ;
#@ # group_variable edif "edifin_view_identifier_property_name" ;
#@ # group_variable edif "edifin_dc_script_flag" ;
#@ # group_variable edif "edifin_lib_logic_1_symbol" ;
#@ # group_variable edif "edifin_lib_logic_0_symbol" ;
#@ # group_variable edif "edifin_lib_in_port_symbol" ;
#@ # group_variable edif "edifin_lib_out_port_symbol" ;
#@ # group_variable edif "edifin_lib_inout_port_symbol" ;
#@ # group_variable edif "edifin_lib_in_osc_symbol" ;
#@ # group_variable edif "edifin_lib_out_osc_symbol" ;
#@ # group_variable edif "edifin_lib_inout_osc_symbol" ;
#@ # group_variable edif "edifin_lib_mentor_netcon_symbol" ;
#@ # group_variable edif "edifin_lib_ripper_bits_property" ;
#@ # group_variable edif "edifin_lib_ripper_bus_end" ;
#@ # group_variable edif "edifin_lib_ripper_cell_name" ;
#@ # group_variable edif "edifin_lib_ripper_view_name" ;
#@ # group_variable edif "edifin_lib_route_grid" ;
#@ # group_variable edif "edifin_lib_templates" ;
#@ # group_variable edif "edifout_dc_script_flag" ;
#@ # group_variable edif "edifout_design_name" ;
#@ # group_variable edif "edifout_designs_library_name" ;
#@ # group_variable edif "edifout_display_instance_names" ;
#@ # group_variable edif "edifout_display_net_names" ;
#@ # group_variable edif "edifout_external" ;
#@ # group_variable edif "edifout_external_graphic_view_name" ;
#@ # group_variable edif "edifout_external_netlist_view_name" ;
#@ # group_variable edif "edifout_external_schematic_view_name" ;
#@ # group_variable edif "edifout_ground_name" ;
#@ # group_variable edif "edifout_ground_net_name" ;
#@ # group_variable edif "edifout_ground_net_property_name" ;
#@ # group_variable edif "edifout_ground_net_property_value" ;
#@ # group_variable edif "edifout_ground_pin_name" ;
#@ # group_variable edif "edifout_ground_port_name" ;
#@ # group_variable edif "edifout_instance_property_name" ;
#@ # group_variable edif "edifout_instantiate_ports" ;
#@ # group_variable edif "edifout_library_graphic_view_name" ;
#@ # group_variable edif "edifout_library_netlist_view_name" ;
#@ # group_variable edif "edifout_library_schematic_view_name" ;
#@ # group_variable edif "edifout_merge_libraries" ;
#@ # group_variable edif "edifout_multidimension_arrays" ;
#@ # group_variable edif "edifout_name_oscs_different_from_ports" ;
#@ # group_variable edif "edifout_name_rippers_same_as_wires" ;
#@ # group_variable edif "edifout_netlist_only" ;
#@ # group_variable edif "edifout_no_array" ;
#@ # group_variable edif "edifout_numerical_array_members" ;
#@ # group_variable edif "edifout_pin_direction_property_name" ;
#@ # group_variable edif "edifout_pin_direction_in_value" ;
#@ # group_variable edif "edifout_pin_direction_inout_value" ;
#@ # group_variable edif "edifout_pin_direction_out_value" ;
#@ # group_variable edif "edifout_pin_name_property_name" ;
#@ # group_variable edif "edifout_portinstance_disabled_property_name" ;
#@ # group_variable edif "edifout_portinstance_disabled_property_value" ;
#@ # group_variable edif "edifout_portinstance_property_name"
#@ # group_variable edif "edifout_power_and_ground_representation"
#@ # group_variable edif "edifout_power_name"
#@ # group_variable edif "edifout_power_net_name"
#@ # group_variable edif "edifout_power_net_property_name"
#@ # group_variable edif "edifout_power_net_property_value"
#@ # group_variable edif "edifout_power_pin_name"
#@ # group_variable edif "edifout_power_port_name"
#@ # group_variable edif "edifout_skip_port_implementations"
#@ # group_variable edif "edifout_target_system"
#@ # group_variable edif "edifout_top_level_symbol"
#@ # group_variable edif "edifout_translate_origin"
#@ # group_variable edif "edifout_unused_property_value"
#@ # group_variable edif "edifout_write_attributes"
#@ # group_variable edif "edifout_write_constraints"
#@ # group_variable edif "edifout_write_properties_list"
#@ # group_variable edif "write_name_nets_same_as_ports"
#@
#@ # "hdl" variables are variables pertaining to hdl reading and optimizing
#@ group_variable hdl "hdlin_unified_rtl_read"
#@ group_variable hdl "hdlin_vcs_home"
#@ group_variable hdl "hdlin_legacy_naming"
#@ group_variable hdl "bus_dimension_separator_style"
#@ group_variable hdl "bus_minus_style"
#@ group_variable hdl "bus_naming_style"
#@ group_variable hdl "hdlin_ignore_textio_constructs"
#@ group_variable hdl "hdlin_latch_always_async_set_reset"
#@ group_variable hdl "hdlin_ff_always_sync_set_reset"
#@ group_variable hdl "hdlin_ff_always_async_set_reset"
#@ group_variable hdl "hdlin_always_fsm_complete"
#@ group_variable hdl "hdlin_failsafe_fsm"
#@ group_variable hdl "hdlin_check_input_netlist"
#@ group_variable hdl "hdlin_check_no_latch"
#@ group_variable hdl "hdlin_tic_tic_discards_whitespace"
#@ group_variable hdl "hdlin_reporting_level"
#@ group_variable hdl "hdlin_infer_mux"
#@ group_variable hdl "hdlin_mux_oversize_ratio"
#@ group_variable hdl "hdlin_mux_size_limit"
#@ group_variable hdl "hdlin_infer_multibit"
#@ group_variable hdl "hdl_preferred_license"
#@ group_variable hdl "hdl_keep_licenses"
#@ group_variable hdl "hlo_resource_allocation"
#@ group_variable hdl "template_naming_style"
#@ group_variable hdl "template_parameter_style"
#@ group_variable hdl "template_separator_style"
#@ group_variable hdl "verilogout_equation"
#@ group_variable hdl "verilogout_ignore_case"
#@ group_variable hdl "verilogout_no_tri"
#@ group_variable hdl "verilogout_inout_is_in"
#@ group_variable hdl "verilogout_single_bit"
#@ group_variable hdl "verilogout_higher_designs_first"
#@ # group_variable hdl "verilogout_levelize"
#@ group_variable hdl "verilogout_include_files"
#@ group_variable hdl "verilogout_unconnected_prefix"
#@ group_variable hdl "verilogout_show_unconnected_pins"
#@ group_variable hdl "verilogout_no_negative_index"
#@ group_variable hdl "hdlin_enable_rtldrc_info"
#@ group_variable hdl "hdlin_sv_blackbox_modules"
#@ group_variable hdl "hdlin_sv_enable_rtl_attributes"
#@ group_variable hdl "hdlin_enable_hier_map"
#@ group_variable hdl "hdlin_sv_interface_only_modules"
#@ group_variable hdl "hdlin_port_dimension_mismatch_error"
#@ group_variable hdl "hdlin_intermediate_file_method"
#@ group_variable hdl "hdlin_infer_function_local_latches"
#@ group_variable hdl "hdlin_infer_local_sync_enable_only"
#@ group_variable hdl "hdlin_module_arch_name_splitting"
#@ group_variable hdl "hdlin_mux_size_min"
#@ group_variable hdl "hdlin_prohibit_nontri_multiple_drivers"
#@ group_variable hdl "hdlin_subprogram_default_values"
#@ group_variable hdl "hdlin_upcase_names"
#@ group_variable hdl "hdlin_vhdl_std"
#@ group_variable hdl "hdlin_vhdl93_concat"
#@ group_variable hdl "hdlin_vhdl_syntax_extensions"
#@ group_variable hdl "hdlin_sv_enforce_standalone_generate_blocks"
#@ group_variable hdl "hdlin_vrlg_std"
#@ group_variable hdl "hdlin_while_loop_iterations"
#@ group_variable hdl "hdlin_auto_save_templates"
#@ group_variable hdl "hdlin_elab_errors_deep"
#@ group_variable hdl "hdlin_enable_assertions"
#@ group_variable hdl "hdlin_enable_configurations"
#@ group_variable hdl "hdlin_field_naming_style"
#@ group_variable hdl "hdlin_generate_naming_style"
#@ group_variable hdl "hdlin_generate_separator_style"
#@ group_variable hdl "hdlin_enable_relative_placement"
#@ group_variable hdl "hdlin_mux_rp_limit"
#@ group_variable hdl "hdlin_keep_signal_name"
#@ group_variable hdl "hdlin_module_name_limit"
#@ group_variable hdl "hdlin_mux_size_only"
#@ group_variable hdl "hdlin_preserve_sequential"
#@ group_variable hdl "hdlin_presto_cell_name_prefix"
#@ group_variable hdl "hdlin_presto_net_name_prefix"
#@ group_variable hdl "hdlin_strict_verilog_reader"
#@ group_variable hdl "hdlin_shorten_long_module_name"
#@ group_variable hdl "hdlin_sv_packages"
#@ group_variable hdl "hdlin_sv_tokens"
#@ group_variable hdl "hdlin_enable_elaborate_ref_linking"
#@ group_variable hdl "hdlin_enable_hier_naming"
#@ group_variable hdl "hdlin_enable_elaborate_update"
#@ group_variable hdl "hdlin_autoread_verilog_extensions"
#@ group_variable hdl "hdlin_autoread_sverilog_extensions"
#@ group_variable hdl "hdlin_autoread_vhdl_extensions"
#@ group_variable hdl "hdlin_autoread_exclude_extensions"
#@ group_variable hdl "hdlin_naming_register_suffix_on_field"
#@ group_variable hdl "hdlin_enable_upf_compatible_naming"
#@ group_variable hdl "hdlin_report_sequential_pruning"
#@ group_variable hdl "hdlin_analyze_verbose_mode"
#@ group_variable hdl "hdlin_enable_ieee_1735_support"
#@ group_variable hdl "hdlin_netlist_unloaded_signals"
#@ group_variable hdl "hdlin_report_info"
#@ group_variable hdl "hdlin_report_time"
#@ group_variable hdl "hdlin_report_mem"
#@ group_variable hdl "hdlin_analyze_prioritize_command_line_defines"
#@
#@ # "vhdlio" variables are variables pertaining to VHDL generation
#@ group_variable vhdlio "vhdllib_timing_mesg"
#@ group_variable vhdlio "vhdllib_timing_xgen"
#@ group_variable vhdlio "vhdllib_timing_checks"
#@ group_variable vhdlio "vhdllib_negative_constraint"
#@ group_variable vhdlio "vhdllib_pulse_handle"
#@ group_variable vhdlio "vhdllib_glitch_handle"
#@ group_variable vhdlio "vhdllib_architecture"
#@ group_variable vhdlio "vhdllib_tb_compare"
#@ group_variable vhdlio "vhdllib_tb_x_eq_dontcare"
#@ group_variable vhdlio "vhdllib_logic_system"
#@ group_variable vhdlio "vhdllib_logical_name"
#@
#@ # group_variable vhdlio "vhdlout_architecture_name"
#@ group_variable vhdlio "vhdlout_bit_type"
#@ # group_variable vhdlio "vhdlout_bit_type_resolved"
#@ group_variable vhdlio "vhdlout_bit_vector_type"
#@ # group_variable vhdlio "vhdlout_conversion_functions"
#@ # group_variable vhdlio "vhdlout_dont_write_types"
#@ group_variable vhdlio "vhdlout_equations"
#@ group_variable vhdlio "vhdlout_one_name"
#@ group_variable vhdlio "vhdlout_package_naming_style"
#@ group_variable vhdlio "vhdlout_preserve_hierarchical_types"
#@ group_variable vhdlio "vhdlout_separate_scan_in"
#@ group_variable vhdlio "vhdlout_single_bit"
#@ group_variable vhdlio "vhdlout_target_simulator"
#@ group_variable vhdlio "vhdlout_top_configuration_arch_name"
#@ group_variable vhdlio "vhdlout_top_configuration_entity_name"
#@ group_variable vhdlio "vhdlout_top_configuration_name"
#@ group_variable vhdlio "vhdlout_three_state_name"
#@ group_variable vhdlio "vhdlout_three_state_res_func"
#@ # group_variable vhdlio "vhdlout_time_scale"
#@ group_variable vhdlio "vhdlout_unknown_name"
#@ group_variable vhdlio "vhdlout_use_packages"
#@ group_variable vhdlio "vhdlout_wired_and_res_func"
#@ group_variable vhdlio "vhdlout_wired_or_res_func"
#@ group_variable vhdlio "vhdlout_write_architecture"
#@ group_variable vhdlio "vhdlout_write_entity"
#@ group_variable vhdlio "vhdlout_write_top_configuration"
#@ # group_variable vhdlio "vhdlout_synthesis_off"
#@ group_variable vhdlio "vhdlout_write_components"
#@ group_variable vhdlio "vhdlout_zero_name"
#@ # group_variable vhdlio "vhdlout_levelize"
#@ group_variable vhdlio "vhdlout_dont_create_dummy_nets"
#@ group_variable vhdlio "vhdlout_follow_vector_direction"
#@
#@ # "suffix" variables are used to find the suffixes of different file types
#@ group_variable suffix "view_execute_script_suffix"
#@ group_variable suffix "view_read_file_suffix"
#@ group_variable suffix "view_analyze_file_suffix"
#@ group_variable suffix "view_write_file_suffix"
#@
#@ # Meenakshi: Added new group scc (for SystemC compiler)
#@ group_variable scc {systemcout_levelize}
#@ group_variable scc {systemcout_debug_mode}
#@
#@ # "power" variables are for power-analysis.
#@ group_variable power {power_keep_license_after_power_commands}
#@ group_variable power {power_preserve_rtl_hier_names}
#@ group_variable power {power_do_not_size_icg_cells}
#@ group_variable power {power_hdlc_do_not_split_cg_cells}
#@ group_variable power {power_rtl_saif_file}
#@ group_variable power {power_sdpd_saif_file}
#@ group_variable power {power_cg_flatten}
#@ group_variable power {power_opto_extra_high_dynamic_power_effort}
#@ group_variable power {power_default_static_probability}
#@ group_variable power {power_default_toggle_rate}
#@ group_variable power {power_default_toggle_rate_type}
#@ group_variable power {power_model_preference}
#@ group_variable power {power_sa_propagation_effort}
#@ group_variable power {power_sa_propagation_verbose}
#@ group_variable power {power_fix_sdpd_annotation}
#@ group_variable power {power_fix_sdpd_annotation_verbose}
#@ group_variable power {power_sdpd_message_tolerance}
#@ group_variable power {power_rclock_use_asynch_inputs}
#@ group_variable power {power_rclock_inputs_use_clocks_fanout}
#@ group_variable power {power_rclock_unrelated_use_fastest}
#@ group_variable power {power_lib2saif_rise_fall_pd}
#@ group_variable power {power_min_internal_power_threshold}
#@ group_variable power {power_cg_module_naming_style}
#@ group_variable power {power_cg_cell_naming_style}
#@ group_variable power {power_cg_gated_clock_net_naming_style}
#@ group_variable power {do_operand_isolation}
#@
#@ # dpcm variables are used by DPCM lib and controllong DC when using DPCM
#@
#@ if { [info exists dpcm_debuglevel] } {
#@ group_variable dpcm "dpcm_debuglevel"
#@ group_variable dpcm "dpcm_rulespath"
#@ group_variable dpcm "dpcm_rulepath"
#@ group_variable dpcm "dpcm_tablepath"
#@ group_variable dpcm "dpcm_libraries"
#@ group_variable dpcm "dpcm_version"
#@ group_variable dpcm "dpcm_level"
#@ group_variable dpcm "dpcm_temperaturescope"
#@ group_variable dpcm "dpcm_voltagescope"
#@ group_variable dpcm "dpcm_functionscope"
#@ group_variable dpcm "dpcm_wireloadscope"
#@ group_variable dpcm "dpcm_slewlimit"
#@ group_variable dpcm "dpcm_arc_sense_mapping"
#@
#@ }
#@
#@ set dpcm_slewlimit "TRUE"
#@
#@ # executable to fire off RTLA/BCV
#@ group_variable hdl {xterm_executable}
#@
#@ # Variable group for Chip Compiler
#@ if {[info exists acs_work_dir]} {
#@ group_variable acs acs_area_report_suffix
#@ group_variable acs acs_autopart_max_area
#@ group_variable acs acs_autopart_max_percent
#@ group_variable acs acs_budgeted_cstr_suffix
#@ group_variable acs acs_compile_script_suffix
#@ group_variable acs acs_constraint_file_suffix
#@ group_variable acs acs_cstr_report_suffix
#@ group_variable acs acs_db_suffix
#@ group_variable acs acs_dc_exec
#@ group_variable acs acs_default_pass_name
#@ group_variable acs acs_exclude_extensions
#@ group_variable acs acs_exclude_list
#@ group_variable acs acs_global_user_compile_strategy_script
#@ group_variable acs acs_hdl_verilog_define_list
#@ group_variable acs acs_hdl_source
#@ group_variable acs acs_lic_wait
#@ group_variable acs acs_log_file_suffix
#@ group_variable acs acs_make_args
#@ group_variable acs acs_make_exec
#@ group_variable acs acs_makefile_name
#@ group_variable acs acs_num_parallel_jobs
#@ group_variable acs acs_override_report_suffix
#@ group_variable acs acs_override_script_suffix
#@ group_variable acs acs_qor_report_suffix
#@ group_variable acs acs_timing_report_suffix
#@ group_variable acs acs_use_autopartition
#@ group_variable acs acs_use_default_delays
#@ group_variable acs acs_user_budgeting_script
#@ group_variable acs acs_user_compile_strategy_script_suffix
#@ group_variable acs acs_verilog_extensions
#@ group_variable acs acs_vhdl_extensions
#@ group_variable acs acs_work_dir
#@ group_variable acs check_error_list
#@ group_variable acs ilm_preserve_core_constraints
#@
#@ }
#@
#@ #
#@ # DesignTime Variable Group timing
#@ #
#@
#@ group_variable timing case_analysis_log_file
#@ group_variable timing case_analysis_sequential_propagate
#@ group_variable timing case_analysis_with_logic_constants
#@ group_variable timing create_clock_no_input_delay
#@ group_variable timing disable_auto_time_borrow
#@ group_variable timing disable_case_analysis
#@ group_variable timing disable_conditional_mode_analysis
#@ group_variable timing disable_library_transition_degradation
#@ group_variable timing dont_bind_unused_pins_to_logic_constant
#@ group_variable timing enable_slew_degradation
#@ group_variable timing high_fanout_net_pin_capacitance
#@ group_variable timing high_fanout_net_threshold
#@ group_variable timing lib_thresholds_per_lib
#@ group_variable timing rc_adjust_rd_when_less_than_rnet
#@ group_variable timing rc_ceff_delay_min_diff_ps
#@ group_variable timing rc_degrade_min_slew_when_rd_less_than_rnet
#@ group_variable timing rc_driver_model_max_error_pct
#@ group_variable timing rc_filter_rd_less_than_rnet
#@ group_variable timing rc_input_threshold_pct_fall
#@ group_variable timing rc_input_threshold_pct_rise
#@ group_variable timing rc_output_threshold_pct_fall
#@ group_variable timing rc_output_threshold_pct_rise
#@ group_variable timing rc_rd_less_than_rnet_threshold
#@ group_variable timing rc_slew_derate_from_library
#@ group_variable timing rc_slew_lower_threshold_pct_fall
#@ group_variable timing rc_slew_lower_threshold_pct_rise
#@ group_variable timing rc_slew_upper_threshold_pct_fall
#@ group_variable timing rc_slew_upper_threshold_pct_rise
#@ group_variable timing timing_disable_cond_default_arcs
#@ # group_variable timing timing_enable_multiple_clocks_per_reg
#@ group_variable timing timing_report_attributes
#@ group_variable timing timing_self_loops_no_skew
#@ group_variable timing when_analysis_permitted
#@ group_variable timing when_analysis_without_case_analysis
#@
#@ } ;# $synopsys_program_name != "ptxr"
#@
#@ if { $synopsys_program_name == "icc_shell"} {
#@ #
#@ # IC Compile Variable Group:
#@ #
#@ # These variables affect the designs created by the route_opt command.
#@ #
#@ group_variable routeopt routeopt_checkpoint
#@ group_variable routeopt routeopt_disable_cpulimit
#@ }
#@
#@ if { $synopsys_program_name == "icc_shell"} {
#@ #
#@ # IC Compiler Variable Group: MCMM
#@ #
#@ # These variables affect Multi-Corner/Multi-Mode. Currently, MCMM is
#@ # only supported in ICC--hence the "icc_shell" qualification, above
#@ #
#@ group_variable MCMM mcmm_enable_high_capacity_flow
#@ }
#@
#@ # Aliases for backwards compatibility or other reasons
#@ group_variable compile {compile_log_format}
#@ alias view_cursor_number x11_set_cursor_number
#@ alias set_internal_load set_load
#@ alias set_internal_arrival set_arrival
#@ alias set_connect_delay "set_annotated_delay -net"
#@ alias create_test_vectors create_test_patterns
#@ alias compile_test insert_test
#@ alias check_clocks check_timing
#@ alias lint check_design
#@ # gen removed; alias gen create_schematic
#@ alias free remove_design
#@ alias group_bus create_bus
#@ alias ungroup_bus remove_bus
#@ alias groupvar group_variable
#@ alias report_constraints report_constraint
#@ alias report_attributes report_attribute
#@ alias fsm_reduce reduce_fsm
#@ alias fsm_minimize minimize_fsm
#@ alias disable_timing set_disable_timing
#@ alias dont_touch set_dont_touch
#@ alias dont_touch_network set_dont_touch_network
#@ alias dont_use set_dont_use
#@ alias fix_hold set_fix_hold
#@ alias prefer set_prefer
#@ alias remove_package "echo remove_package command is obsolete: packages are
stored on disk not in-memory:"
#@ alias analyze_scan preview_scan
#@ alias get_clock get_clocks
#@ alias dc_shell_is_in_incr_mode shell_is_in_xg_mode
#@ alias set_vh_module_options set_dps_module_options
#@ alias set_vh_physopt_options set_dps_options
#@ alias update_vh_design update_dps_design
#@ alias vh_start dps_start
#@ alias vh_end dps_end
#@ alias all_vh_modules all_dps_modules
#@ alias all_designs_of_vh all_designs_of_dps
#@ alias vh_use_auto_partitioning dps_auto_partitioning
#@ alias vh_write_changes dps_write_changes
#@ alias vh_read_changes dps_read_changes
#@ alias vh_write_module_clock dps_write_module_clock
#@ alias get_lib get_libs
#@
#@ # Enable unsupported psyn commands
#@ if { $synopsys_program_name == "psyn_shell" || $synopsys_program_name ==
"icc_shell"} {
#@ proc enable_unsupported_commands { { arg "default" } } {
#@ global cgpi_use_new_wire_factors
#@ global cgpi_use_relative_wire_factors
#@ global cgpi_use_new_path_factors
#@ global pwlm_use_new_wire_factors
#@ global pwlm_use_relative_wire_factors
#@ global pwlm_use_new_path_factors
#@ global psyn_unsupported_commands_dir
#@ global synopsys_root
#@ if {![info exists psyn_unsupported_commands_dir]} {
#@ set psyn_unsupported_commands_dir
$synopsys_root/auxx/syn/psyn/unsupported_commands
#@ }
#@ set psyn_unsupported_commands_option1 $arg
#@ if {[file readable $psyn_unsupported_commands_dir/setup.tcl]} {
#@ source $psyn_unsupported_commands_dir/setup.tcl
#@ } else {
#@ source -encrypted $psyn_unsupported_commands_dir/setup.tcl.e
#@ }
#@ }
#@ }
#@ # For Intel
#@ if { $synopsys_program_name == "icc_shell"} {
#@ set psyn_unsupported_commands_dir
$synopsys_root/auxx/syn/psyn/unsupported_commands
#@ source -encrypted $psyn_unsupported_commands_dir/max_dist.tcl.e
#@ }
#@
#@
#@ if { $synopsys_program_name == "icc_shell"} {
#@ # to enable CLE readline-ish terminal by default for ICC
#@ set sh_enable_line_editing true
#@
#@ # Astro forms create an enormous number of new variables which are
#@ # very annoying for users to see, so the default of this variable
#@ # for ICC is false
#@ set sh_new_variable_message false
#@ }
#@
#@ if { $synopsys_program_name == "icc_shell" || \
#@ (($synopsys_program_name == "dc_shell") &&
([shell_is_in_topographical_mode])) } {
#@ source $synopsys_root/auxx/syn/psyn/verify_ilm.tcl
#@ }
#@
#@ # Enable vh psyn commands
#@ if { $synopsys_program_name == "psyn_shell" } {
#@ proc enable_vh_flow { } {
#@ global VH_SCRIPT_FILE
#@ global synopsys_root
#@ global suppress_errors
#@ set suppress_errors "$suppress_errors CMD-041 UID-95 SEL-003 SEL-005"
#@ if {![info exists VH_SCRIPT_FILE]} {
#@ set VH_SCRIPT_FILE $synopsys_root/auxx/syn/psyn/vh_pc.tcl.e
#@ }
#@ if {[file readable $VH_SCRIPT_FILE]} {
#@ if {[string match *.tcl $VH_SCRIPT_FILE]} {
#@ source $VH_SCRIPT_FILE
#@ } else {
#@ source -encrypted $VH_SCRIPT_FILE
#@ }
#@ } else {
#@ puts "Error: VH script file $VH_SCRIPT_FILE not found."
#@ }
#@ }
#@ }
#@
#@
#@ #Turn on enable_netl_view to true by default.
#@ set enable_netl_view "TRUE"
#@
#@
#@ #Turn on physopt_bypass_multiple_plib_check by default
#@ if { $synopsys_program_name == "psyn_shell" } {
#@ set physopt_bypass_multiple_plib_check TRUE
#@ }
#@
#@ # The ls command is gone, now it is just an alias for dc_shell eqn mode
#@
#@ if { [string compare $dc_shell_mode "default"] == 0 } {
#@ if { ( $sh_arch == {mips}) && ( ( $synopsys_program_name ==
{design_analyzer}) || ( $isatty == 0)) } {
#@ alias ls "sh ls -a "
#@ } else {
#@ if { ( $sh_arch == {mips}) || ( $sh_arch == {necmips}) } {
#@ alias ls "sh ls -aC "
#@ } else {
#@ alias ls "sh ls -aC "
#@ }
#@ }
#@ }
#@
#@ # Aliases for RouteCompiler
#@ alias run_rodeo_router route66
#@
#@ # Removing route_global from the code. Earlier it was hidden. --Mukesh
#@ #proc route_global {} {
#@ # global route_global_keep_tmp_data
#@ # global rt66_dont_lock_dir
#@ #
#@ # set rt66_dont_lock_dir TRUE
#@ #
#@ # for { set i 0} {1==1} {incr i} {
#@ # set wdir [file join [pwd] ".route_global.$i"]
#@ # if {[file exist $wdir] == 0} {
#@ # break;
#@ # }
#@ # }
#@ #
#@ # set_routing_options -cut_out_covered_port CORE_ONLY
#@ # set_routing_options -internal_routing FALSE
#@ # set_routing_options -stick_routing FALSE
#@ #
#@ # ###puts "wdir = $wdir"
#@ #
#@ # set success [route66 -global -dontstop -dir $wdir]
#@ #
#@ # #clean tmp data if required:
#@ # if { $success == 1 } {
#@ # if [catch {string toupper $route_global_keep_tmp_data} result] {
#@ # #variable is not defined
#@ # ###puts "result_1 = $result => removing dir ..."
#@ # catch { file delete -force $wdir }
#@ # } else {
#@ # #variable is set to FALSE
#@ # if { [string compare $result "TRUE"] != 0} {
#@ # ###puts "result_2 = $result => removing dir ..."
#@ # catch { file delete -force $wdir }
#@ # }
#@ # }
#@ # }
#@ #
#@ # set rt66_dont_lock_dir FALSE
#@ # return 1
#@ #}
#@ #define_proc_attributes route_global -hidden
#@
#@ #/* Aliases added for report command */
#@ alias report_clock_constraint "report_timing -path end -to all_registers(-
data_pins)"
#@ alias report_clock_fanout "report_transitive_fanout -clock_tree"
#@ alias report_clocks report_clock
#@ alias report_synthetic report_cell
#@
#@ # Alias added for Ultra backward compatibility mode
#@ alias set_ultra_mode set_ultra_optimization
#@
#@ # alias for write_sge and menu item in DA for db2sge
#@
#@ #if { [string compare $dc_shell_mode "tcl"] == 0 } {
#@ # set db2sge_script ${synopsys_root}/admin/setup/.dc_write_sge.tcl
#@ #} else {
#@ # set db2sge_script ${synopsys_root}/admin/setup/.dc_write_sge
#@ #}
#@
#@ #set db2sge_command ${synopsys_root}/${sh_arch}/syn/bin/db2sge
#@ set view_script_submenu_items "\"DA to SGE Transfer\" write_sge"
#@
#@
#@ if { $synopsys_program_name != "lc_shell"} {
#@ # read schematic annotation setup file
#@ #source ${synopsys_root}/admin/setup/.dc_annotate
#@
#@ # setup the default layer settings
#@ #source ${synopsys_root}/admin/setup/.dc_layers
#@
#@ if {$synopsys_program_name != "dc_sms_shell"} {
#@ source ${synopsys_root}/admin/setup/.dc_name_rules
#@ }
#@ } else {
#@ #for read_lib -html
#@ source ${synopsys_root}/auxx/syn/lc/read_lib_html_msg_list.tcl
#@ }
#@ # -- Starting source /cad/synopsys/synthesis/cur/admin/setup/.dc_name_rules

#@ #
#@
#@ # .dc_name_rules Initialization file for
#@
#@ # Dc_Shell and Design_Analyzer
#@
#@ # This files defines name rules for target systems. Change_names
#@ # will use this rules to fix the object names.
#@
#@ #*/
#@
#@
#@ define_name_rules sverilog -type net -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@
#@ define_name_rules sverilog -type port -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@
#@ define_name_rules sverilog -type cell -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@ define_name_rules sverilog -reserved { "always" "always_comb" "always_ff" \
#@ "always_latch" "and" "assert" "assert_strobe" "assign" "automatic" \
#@ "begin" "bit" "break" "buf" "bufif0" "bufif1" "byte" "case" "casex" "casez"
\
#@ "cell" "changed" "char" "cmos" "config" "const" "continue" "deassign" \
#@ "default" "defparam" "design" "disable" "do" "edge" "else" "end" \
#@ "endcase" "endconfig" "endfunction" "endgenerate" "endinterface" "endmodule"
"endprimitive" \
#@ "endspecify" "endtable" "endtask" "endtransition" "enum" "event" "export"
"extern" \
#@ "for" "force" "forever" "fork" "forkjoin" "function" "generate" "genvar" \
#@ "highz0" "highz1" "if" "iff" "ifnone" "import" "incdir" "include" "initial"
\
#@ "inout" "input" "instance" "int" "integer" "interface" "join" "large" \
#@ "liblist" "library" "localparam" "logic" "longint" "longreal" "macromodule"
"medium" \
#@ "modport" "module" "nand" "negedge" "nmos" "nor" "noshowcancelled" "not"
"notif0" \
#@ "notif1" "or" "output" "packed" "parameter" "pmos" "posedge" \
#@ "primitive" "process" "priority" "pull0" "pull1" "pullup" "pulldown" \
#@ "pulsestyle_onevent" "pulsestyle_ondetect" \
#@ "rcmos" "real" "realtime" "reg" "release" "repeat" "return" "rnmos" "rpmos"
"rtran" \
#@ "rtranif0" "rtranif1" "scalared" "shortint" "shortreal" "showcancelled" \
#@ "signed" "small" "specify" "specparam" "static" \
#@ "strong0" "strong1" "struct" "supply0" "supply1" "table" "task" \
#@ "time" "timeprecision" "timeunit" "tran" "tranif0" "tranif1" "transition" "tri"
"tri0" "tri1" \
#@ "triand" "trior" "trireg" "type" "typedef" "union" "unique" "use" "unsigned"
"vectored" \
#@ "void" "wait" "wand" "weak0" "weak1" "while" "wire" "wor" "xor" "xnor" } \
#@ -special verilog -target_bus_naming_style {%s[%d]} \
#@ -flatten_multi_dimension_busses \
#@ -check_internal_net_name \
#@ -check_bus_indexing
#@
#@ define_name_rules verilog -type net -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@
#@ define_name_rules verilog -type port -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@
#@ define_name_rules verilog -type cell -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@ define_name_rules verilog -reserved { "always" \
#@ "and" "assign" "automatic" \
#@ "begin" "buf" "bufif0" "bufif1" "case" "casex" "casez" \
#@ "cell" "cmos" "config" "deassign" \
#@ "default" "defparam" "design" "disable" "edge" "else" "end" \
#@ "endcase" "endconfig" "endfunction" "endgenerate" "endmodule" "endprimitive"
\
#@ "endspecify" "endtable" "endtask" "event" \
#@ "for" "force" "forever" "fork" "function" "generate" "genvar" \
#@ "highz0" "highz1" "if" "ifnone" "incdir" "include" "initial" \
#@ "inout" "input" "instance" "integer" "join" "large" \
#@ "liblist" "library" "localparam" "macromodule" "medium" \
#@ "module" "nand" "negedge" "nmos" "nor" "noshowcancelled" "not" "notif0" \
#@ "notif1" "or" "output" "parameter" "pmos" "posedge" \
#@ "primitive" "pull0" "pull1" "pullup" "pulldown" \
#@ "pulsestyle_onevent" "pulsestyle_ondetect" \
#@ "rcmos" "real" "realtime" "reg" "release" "repeat" "rnmos" "rpmos" "rtran"
\
#@ "rtranif0" "rtranif1" "scalared" "showcancelled" \
#@ "signed" "small" "specify" "specparam" \
#@ "strong0" "strong1" "supply0" "supply1" "table" "task" \
#@ "time" "tran" "tranif0" "tranif1" "tri" "tri0" "tri1" \
#@ "triand" "trior" "trireg" "unsigned" "use" "vectored" \
#@ "wait" "wand" "weak0" "weak1" "while" "wire" "wor" "xor" "xnor" } \
#@ -special verilog -target_bus_naming_style {%s[%d]} \
#@ -flatten_multi_dimension_busses \
#@ -check_internal_net_name \
#@ -check_bus_indexing
#@
#@ define_name_rules verilog_1995 -type net -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@
#@ define_name_rules verilog_1995 -type port -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@
#@ define_name_rules verilog_1995 -type cell -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@ define_name_rules verilog_1995 -reserved { "always" \
#@ "and" "assign" \
#@ "begin" "buf" "bufif0" "bufif1" "case" "casex" "casez" \
#@ "cell" "cmos" "deassign" \
#@ "default" "defparam" "design" "disable" "edge" "else" "end" \
#@ "endcase" "endfunction" "endmodule" "endprimitive" \
#@ "endspecify" "endtable" "endtask" "event" \
#@ "for" "force" "forever" "fork" "function" \
#@ "highz0" "highz1" "if" "ifnone" "initial" \
#@ "inout" "input" "integer" "join" "large" \
#@ "macromodule" "medium" \
#@ "module" "nand" "negedge" "nmos" "nor" "notif0" \
#@ "notif1" "or" "output" "parameter" "pmos" "posedge" \
#@ "primitive" "pull0" "pull1" "pullup" "pulldown" \
#@ "rcmos" "real" "realtime" "reg" "release" "repeat" "rnmos" "rpmos" "rtran"
\
#@ "rtranif0" "rtranif1" "scalared" \
#@ "small" "specify" "specparam" \
#@ "strong0" "strong1" "supply0" "supply1" "table" "task" \
#@ "time" "tran" "tranif0" "tranif1" "tri" "tri0" "tri1" \
#@ "triand" "trior" "trireg" "vectored" \
#@ "wait" "wand" "weak0" "weak1" "while" "wire" "wor" "xor" "xnor" } \
#@ -special verilog -target_bus_naming_style {%s[%d]} \
#@ -flatten_multi_dimension_busses \
#@ -check_internal_net_name \
#@ -check_bus_indexing
#@
#@
#@ ####/* Name rule for VHDL */
#@
#@ ####/* Name rule for VHDL */
#@
#@ ####/* Name rule for VHDL */
#@
#@ define_name_rules vhdl -reserved_words { \
#@ "abs" "access" "after" "alias" "all" "and" \
#@ "architecture" "array" "assert" "attribute" \
#@ "begin" "block" "body" "buffer" "bus" \
#@ "case" "component" "configuration" "constant" \
#@ "disconnect" "downto" \
#@ "else" "elsif" "end" "entity" "exit" \
#@ "file" "for" "function" \
#@ "generate" "generic" "group" "guarded" \
#@ "if" "impure" "in" "inertial" "inout" "is" \
#@ "label" "library" "linkage" "literal" "loop" \
#@ "map" "mod" \
#@ "nand" "new" "next" "nor" "not" "null" \
#@ "of" "on" "open" "or" "others" "out" \
#@ "package" "port" "postponed" "procedure" "process" "pure" \
#@ "range" "record" "register" "reject" "rem" \
#@ "report" "return" "rol" "ror" \
#@ "select" "severity" "signal" "shared" "sla" "sll" \
#@ "sra" "srl" "subtype" \
#@ "then" "to" "transport" "type" \
#@ "unaffected" "units" "until" "use" \
#@ "variable" \
#@ "wait" "when" "while" "with" \
#@ "xnor" "xor"} \
#@ -case_insensitive \
#@ -target_bus_naming_style "%s(%d)" \
#@ -replacement_char "x" \
#@ -special vhdl
#@ define_name_rules vhdl -type net \
#@ -allowed "A-Z a-z _ 0-9 " \
#@ -first_restricted "0-9 _" \
#@ -last_restricted "_"
#@ define_name_rules vhdl -type port \
#@ -allowed "A-Z a-z _ 0-9 " \
#@ -first_restricted "0-9 _" \
#@ -last_restricted "_"
#@ define_name_rules vhdl -type cell \
#@ -allowed "A-Z a-z _ 0-9" \
#@ -first_restricted "0-9 _" \
#@ -last_restricted "_" \
#@
#@ define_name_rules vhdl -map { {{"__","_"},{"_$",""}} }
#@
#@ define_name_rules vhdl2008 -reserved_words { \
#@ "abs" "access" "after" "alias" "all" "and" \
#@ "architecture" "array" "assert" "assume" \
#@ "assume_guarantee" "attribute" \
#@ "begin" "block" "body" "buffer" "bus" \
#@ "case" "component" "configuration" "constant" \
#@ "context" "cover" "default" "disconnect" "downto" \
#@ "else" "elsif" "end" "entity" "exit" \
#@ "fairness" "file" "for" "force" "function" \
#@ "generate" "generic" "group" "guarded" \
#@ "if" "impure" "in" "inertial" "inout" "is" \
#@ "label" "library" "linkage" "literal" "loop" \
#@ "map" "mod" \
#@ "nand" "new" "next" "nor" "not" "null" \
#@ "of" "on" "open" "or" "others" "out" \
#@ "package" "parameter" "port" "postponed" "procedure" \
#@ "process" "property" "protected" "pure" \
#@ "range" "record" "register" "reject" "release" \
#@ "restrict" "restrict_guarantee" "rem" \
#@ "report" "return" "rol" "ror" \
#@ "select" "sequence" "severity" "signal" "shared" \
#@ "sla" "sll" "sra" "srl" "subtype" "strong" \
#@ "then" "to" "transport" "type" \
#@ "unaffected" "units" "until" "use" \
#@ "variable" "vmode" "vprop" "vunit" \
#@ "wait" "when" "while" "with" \
#@ "xnor" "xor"} \
#@ -case_insensitive \
#@ -target_bus_naming_style "%s(%d)" \
#@ -replacement_char "x" \
#@ -special vhdl
#@ define_name_rules vhdl2008 -type net \
#@ -allowed "A-Z a-z _ 0-9 " \
#@ -first_restricted "0-9 _" \
#@ -last_restricted "_"
#@ define_name_rules vhdl2008 -type port \
#@ -allowed "A-Z a-z _ 0-9 " \
#@ -first_restricted "0-9 _" \
#@ -last_restricted "_"
#@ define_name_rules vhdl2008 -type cell \
#@ -allowed "A-Z a-z _ 0-9" \
#@ -first_restricted "0-9 _" \
#@ -last_restricted "_" \
#@
#@ define_name_rules vhdl2008 -map { {{"__","_"},{"_$",""}} }
#@
#@
#@ ####/* Name rule for VHDL */
#@
#@
#@ ####/* Name rule for System Verilog with keywords updated to 1800-2017 */
#@ define_name_rules sverilog2017 -type net -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@
#@ define_name_rules sverilog2017 -type port -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@
#@ define_name_rules sverilog2017 -type cell -allow "a-z A-Z 0-9 _ " \
#@ -first_restrict "_ 0-9"
#@ define_name_rules sverilog2017 -reserved { "accept_on" "alias" "always"
"always_comb" \
#@ "always_ff" "always_latch" "and" "assert" "assign" \
#@ "assume" "automatic" "before" "begin" "bind" "bins" "binsof" "bit" "break" "buf"
"bufif0" \
#@ "bufif1" "byte" "case" "casex" "casez" "cell" "chandle" "checker" "class"
"clocking" "cmos" \
#@ "config" "const" "constraint" "context" "continue" "cover" "covergroup"
"coverpoint" "cross" \
#@ "deassign" "default" "defparam" "design" "disable" "dist" "do" "edge" "else"
"end" "endcase" \
#@ "endchecker" "endclass" "endclocking" "endconfig" "endfunction" "endgenerate"
"endgroup" \
#@ "endinterface" "endmodule" "endpackage" "endprimitive" "endprogram"
"endproperty" \
#@ "endsequence" "endspecify" "endtable" "endtask" "enum" "event" "eventually"
"expect" "export" \
#@ "extends" "extern" "final" "first_match" "for" "force" "foreach" "forever"
"fork" "forkjoin" \
#@ "function" "generate" "genvar" "global" "highz0" "highz1" "if" "iff" "ifnone"
"ignore_bins" \
#@ "illegal_bins" "implements" "implies" "import" "incdir" "include" "initial"
"inout" "input" \
#@ "inside" "instance" "int" "integer" "interconnect" "interface" "intersect"
"join" "join_any" \
#@ "join_none" "large" "let" "liblist" "library" "local" "localparam" "logic"
"longint" \
#@ "macromodule" "matches" "medium" "modport" "module" "nand" "negedge" "nettype"
"new" "nexttime" \
#@ "nmos" "nor" "noshowcancelled" "not" "notif0" "notif1" "null" "or" "output"
"package" "packed" \
#@ "parameter" "pmos" "posedge" "primitive" "priority" "program" "property"
"protected" "pull0" \
#@ "pull1" "pulldown" "pullup" "pulsestyle_ondetect" "pulsestyle_onevent" "pure"
"rand" "randc" \
#@ "randcase" "randsequence" "rcmos" "real" "realtime" "ref" "reg" "reject_on"
"release" "repeat" \
#@ "restrict" "return" "rnmos" "rpmos" "rtran" "rtranif0" "rtranif1" "s_always"
"s_eventually" \
#@ "s_nexttime" "s_until" "s_until_with" "scalared" "sequence" "shortint"
"shortreal" \
#@ "showcancelled" "signed" "small" "soft" "solve" "specify" "specparam" "static"
"string" "strong" \
#@ "strong0" "strong1" "struct" "super" "supply0" "supply1" "sync_accept_on"
"sync_reject_on" \
#@ "table" "tagged" "task" "this" "throughout" "time" "timeprecision" "timeunit"
"tran" "tranif0" \
#@ "tranif1" "tri" "tri0" "tri1" "triand" "trior" "trireg" "type" "typedef" "union"
"unique" \
#@ "unique0" "unsigned" "until" "until_with" "untyped" "use" "uwire" "var"
"vectored" "virtual" \
#@ "void" "wait" "wait_order" "wand" "weak" "weak0" "weak1" "while" "wildcard"
"wire" "with" \
#@ "within" "wor" "xnor" "xor"} \
#@ -special verilog -target_bus_naming_style {%s[%d]} \
#@ -flatten_multi_dimension_busses \
#@ -check_internal_net_name \
#@ -check_bus_indexing
#@ ####/* Name rule for System Verilog with keywords updated to 1800-2017 */
#@ ####/* END */
#@ # -- End source /cad/synopsys/synthesis/cur/admin/setup/.dc_name_rules

#@
#@ if { $synopsys_program_name == "psyn_gui"} {
#@ # read RouteCompiler GUI file for timing critical pathes.
#@ source ${synopsys_root}/auxx/syn/route_gui/write_route_timing_path.tcl
#@ }
#@
#@ # Set physopt_dw_opto to false
#@ if { [string match -nocase {*icc_shell*} $synopsys_program_name] } {
#@ set physopt_dw_opto FALSE
#@ }
#@
#@ #/* Read budgeting setup script */
#@
#@ if { [string compare $dc_shell_mode "default"] == 0 } {
#@
#@ # Need a encrypted file in Tcl format for budget.setup.et
#@ if { $sh_arch != "msvc50" && $sh_arch != "alpha_nt" } {
#@ # source -e synopsys_root + "/admin/setup/budget.setup.et"
#@ }
#@ }
#@
#@ if { $synopsys_program_name == "icc_shell"} {
#@ source $synopsys_root/auxx/syn/.icc_procs.tcl
#@ source -encrypted $synopsys_root/auxx/syn/cts/fast_atomic_cts.tcl.e
#@ }
#@
#@ if { $synopsys_program_name == "icc_shell"} {
#@ alias report_scenario report_scenarios
#@ }
#@
#@ # floorplanning preferences globals
#@ global fp_snap_type
#@
#@ set fp_snap_type(port) wiretrack
#@ set fp_snap_type(cell) litho
#@ set fp_snap_type(pin) wiretrack
#@ set fp_snap_type(movebound) litho
#@ set fp_snap_type(port_shape) wiretrack
#@ set fp_snap_type(wiring_keepout) wiretrack
#@ set fp_snap_type(placement_keepout) litho
#@ set fp_snap_type(net_shape) wiretrack
#@ set fp_snap_type(route_shape) wiretrack
#@ set fp_snap_type(none) litho
#@
#@ # STAR 9000615813. PWR-18 is no longer internally suppressed.
#@ # Instead call tcl suppress_message so that it can be unsuppressed by users in
#@ # command line if needed
#@ suppress_message PWR-18
#@
#@ # alias for write_sge is always the last line of the setup file
#@ #if { [string compare $dc_shell_mode "tcl"] == 0 } {
#@ # alias write_sge "source db2sge_script"
#@ #} else {
#@ # alias write_sge "include db2sge_script"
#@ #}
#@
#@ if { $dc_shell_mode == "tcl" } {
#@ # Configure Execute script dialog to display .tcl files
#@ set view_execute_script_suffix "$view_execute_script_suffix .tcl"
#@ }
#@
#@ #
#@ # Shirley Lu 5/15/2007
#@ #
#@ # Invoke NCX validation/correlation/fomatter from lc_shell:
#@ #
#@ # UNIX shell:
#@ # setenv SYNOPSYS_NCX_ROOT /mydisk/ncx_2007.06
#@ #
#@
#@ if {[info exists env(SYNOPSYS_NCX_ROOT)]} {
#@
#@ set ncx_path $env(SYNOPSYS_NCX_ROOT)/ncx/${sh_arch}/bin
#@
#@ #
#@ # check_ccs_lib
#@ # use libchecker under $ncx_path defined above
#@ # Disable this command since 2010.12-SP3 (should be done in 2010.12 release)
#@ #proc check_ccs_lib {args} {
#@ # global ncx_path
#@ # set cmdStr [linsert $args 0 ${ncx_path}/libchecker -lc_shell]
#@ # set cmd [open "| $cmdStr 2>@ stdout"]
#@ # catch {
#@ # while {[gets $cmd info] >= 0} {
#@ # echo $info
#@ # }
#@ # }
#@ # if {[catch {close $cmd} msg]} {
#@ # }
#@ #}
#@
#@ #
#@ # format_lib
#@ # use ncx under $ncx_path defined above
#@ # Disable format_lib command in 2014.09 release -- xwwang, 7/25/2014
#@ #proc format_lib {args} {
#@ # global ncx_path
#@ # echo "Warning: format_lib command is scheduled to become obsolete in a
future production release."
#@ # set cmdStr [linsert $args 0 ${ncx_path}/ncx -lc_shell]
#@ # set cmd [open "| $cmdStr 2>@ stdout"]
#@ # catch {
#@ # while {[gets $cmd info] >= 0} {
#@ # echo $info
#@ # }
#@ # }
#@ # if {[catch {close $cmd} msg]} {
#@ # }
#@ #}
#@
#@ }
#@
#@ proc valias {v_orig v_alias} {
#@ uplevel 1 "upvar 0 $v_orig $v_alias"
#@ }
#@
#@ set lc_run_from_legacy_library_compiler "true"
#@
#@ set lc_enable_legacy_library_compiler "false"
#@
#@ valias lc_enable_legacy_library_compiler lc_enable_common_shell_lc
#@
#@ if {[info exists ::env(SYNOPSYS_LC_ROOT)] && [file exists
$::env(SYNOPSYS_LC_ROOT)/$::sh_arch/lc/bin/lc_shell_exec]} {
#@ # make lc man pages search path override the default man page search path
#@ append man_path " $::env(SYNOPSYS_LC_ROOT)/doc/lc/man"
#@ } else {
#@ set lc_link "$::synopsys_root/$::sh_arch/syn/bin/lc_shell_exec"
#@ while { [file exists $lc_link] && [file type $lc_link] == "link"} {
#@ set lc_link [file readlink $lc_link]
#@ }
#@ # resolve symbol-link to get $exec_path of lc_shell_exec
#@ if { [file exists $lc_link] } {
#@ set LC_ROOT [file dirname [file dirname [file dirname [file dirname
$lc_link]]]]
#@ append man_path " $LC_ROOT/doc/lc/man"
#@ }
#@ }
#@
#@ source ${synopsys_root}/auxx/syn/lc_commands.tbc
#@ # -- Starting source /cad/synopsys/synthesis/cur/auxx/syn/lc_commands.tbc

#@ ##############################################################################
#@ # Author : Liping Zhao
#@ # History: 2016/11/21 created
#@ # Description: This is the source tcl file of run_nglc.tbc.
#@ # The procs are all for run library compiler under the hood.
#@ # These procs are exracted from .synopsys_dc.setup
#@ ##############################################################################
#@ # TclPro::Compiler::Include
#@
#@ if {[catch {package require tbcload 1.6} err] == 1} {
#@ return -code error "[info script]: The TclPro ByteCode Loader is not
available or does not support the correct version -- $err"
#@ }
#@ tbcload::bceval {
#@ TclPro ByteCode 2 0 1.7 8.5
#@ 43 0 435 61 0 0 324 0 5 43 43 -1 -1
#@ 435
#@ `:G<!(H&s!/HW<!(3E<!2`8s!egwpv2/YQ#/HW<!-Qr<!2`8s!5v!!!k!!!!*Qr<!3HN<!`w
#@ :C25v!!!3!!!!/oJ=!7;kpv:uW<!A^w!!/K!!!0J60%^d1J!B8#>!@lJs!@kUNwOmm<v9uW<
#@ !sPG>!?..rv-TA9v<;|Tv.EW<!uyY>!A:@rv-TA9v>A|Tv.EW<!vik>!xLxrv-TA9v@G|Tv.
#@ EW<!%&2?!a^!sv-TA9vCP|Tv.EW<!(8M?!gp<sv-TA9vFY|Tv.EW<!+Jh?!L'Osv-TA9vIb|
#@ Tv.EW<!-V%@!q9jsv-TA9vKh|Tv.EW<!0h@@!QE'tv-TA9vNq|Tv.EW<!2tR@!SQ9tv-TA9v
#@ Pv^Tv.EW<!4+e@!*dTtv-TA9vR(^Tv.EW<!7=+A!Xoftv-TA9vU1^Tv.EW<!9I=A!4--uv-T
#@ A9vW7^Tv.EW<!<xXA!v9?uv-TA9vZ@^Tv.EW<!>gjA!>KZuv-TA9vyF^Tv.EW<!Aw1B!D|uu
#@ v-TA9v_O^Tv.EW<!D6LB!Fi2!#-TA9vbX^Tv**!!
#@ 43
#@ @1IOwI7xOwMjrPwI%%n#I%%n#I%%n#I%%n#I%%n#I%%n#I%%n#@)0v
#@ 43
#@ I%%n#62qqvY(.OwI1IOwI1IOwI1IOwI1IOwI1IOwI1IOwI1IOw=ri!
#@ 61
#@ x
#@ 4
#@ ,CHr@
#@ x
#@ 23
#@ lj|Z?!aiaEw>m#H8&Z)F5mNaEt-E-
#@ x
#@ 1
#@ A!
#@ p
#@ 5 0 29 3 0 0 20 0 9 5 5 -1 -1
#@ 29
#@ w0E<!w0E<!w0E<!w0E<!6P1qv3&5pv-E<!!z
#@ 5
#@ )|y9v%!
#@ 5
#@ 'wwi%*!
#@ 3
#@ x
#@ 4
#@ m'cSA
#@ x
#@ 7
#@ %H9KDUvg+
#@ x
#@ 18
#@ kaT5BnEi'Fh!cr@eRo;@Syw
#@ 0
#@ 0
#@ 0 0
#@ x
#@ 22
#@ epCkBo0m*EpvnX?3DccE,&MEFO(%
#@ x
#@ 7
#@ /^,8AY@c,
#@ x
#@ 20
#@ lj|Z?qd,r@eLa:@iqEY?%xRQB
#@ x
#@ 6
#@ !>PQ9/-v
#@ p
#@ 28 0 388 20 1 0 152 1 7 28 40 -1 -1
#@ 388
#@ 4;,>!8^w!!KJv!!,`&v!Wx;bB.A-0%v*<<!5>,>!fr2,!.oAv!#0E<!8u&s!5v!!!.!!!!7%
#@ `H&:N2,!Zus&!w6N<!X/ucJlb#pv.`A9v7>o=!?qcjw7/B=!4oSs!YGUNwTJpiw(W3,!<nk#
#@ !#0E<!:t;g%4;tl#Be64w==rH&F5v!!#g:,!:bY#!#0E<!C>YQ#88T=!?ryTv=,9s!5v!!!E
#@ #!!!*Qr<!B43kw4;tl#F(x4w2/Gpv6#1%!9F%sBMZ,HJv*<<!k-6,!y/:'!#0E<!82o=!B4m
#@ 4w3&5pvc!/J/io2,!+|&v!crgl5l/3,!4>##!#0E<!B4m4w>4`H&;N2,!KsI%!#0E<!9Sp3w
#@ m2_Q5io2,!+|&v!d&.36ci2,!+|&v!crgl5,Qr<!F25pve-AJ/**/!!8^w!!F;v!!)`vpv68
#@ #t!;Yq9-F^w!!.H!!!/&#t!Zmm<vHgm<v9>e`B-8giwv*<<!-'!!!
#@ 28
#@ Ca8h%Mk17#/pXo#SG5:vP4IOwLCdOwxE1((
#@ 40
#@ kfO1%/w*!!!|Q'(Vr3<!Yx?x'!w!!!Kt&S#px6L.EnUR#gI3kw
#@ 20
#@ x
#@ 0
#@
#@ x
#@ 13
#@ nEi'FrZ!iCnc%UA/v
#@ x
#@ 14
#@ -,ZS?w:7hCu5(yG?Mw
#@ x
#@ 7
#@ &OQ<@xe|+
#@ x
#@ 5
#@ ijkIDBv
#@ x
#@ 16
#@ k%PJ:qw!d;oLIU?f:6)<
#@ x
#@ 4
#@ m'cSA
#@ x
#@ 6
#@ 1lo(F^(%
#@ x
#@ 1
#@ P!
#@ x
#@ 9
#@ q3HQBb!cr@4v
#@ x
#@ 8
#@ OfS405Kb50
#@ x
#@ 1
#@ R!
#@ i
#@ 0
#@ x
#@ 15
#@ -#l#H8&Z)F5mNaEt-E-
#@ x
#@ 9
#@ V:wLD5Q<JDP!
#@ x
#@ 8
#@ %xRQB:p2TA
#@ x
#@ 8
#@ i%97A&jmMC
#@ x
#@ 8
#@ qLK;@0dhTA
#@ x
#@ 4
#@ :p2TA
#@ x
#@ 4
#@ &jmMC
#@ 1
#@ L 0 214 102 330 317 -1
#@ 0
#@ 1 4
#@ 4
#@ DQMV6
#@ 0 0 256
#@ 8
#@ rmBq@NjkSA
#@ 1 0 0
#@ 9
#@ rmBq@q,BCF4v
#@ 2 0 0
#@ 4
#@ %xRQB
#@ 3 0 0
#@ x
#@ 4
#@ 8w`TA
#@ x
#@ 7
#@ &OQ<@xe|+
#@ x
#@ 18
#@ kaT5BnEi'Fh!cr@eRo;@Syw
#@ x
#@ 2
#@ FVw
#@ x
#@ 5
#@ ijkIDBv
#@ x
#@ 15
#@ |1CO9@wLu5'@xg:T'^(
#@ x
#@ 137
#@ 6dV<+:tJTA5lC&G1RRU?Kr3F;m8gW?Olq58/Y:EF(FV5B%ZACFi35SA5lC&G1RRU?Kr3F;m8
#@ gW?Olq58mbB'F5-=JDmEL:@gy,x3&KQ3Bq9VgCkg,<@1;wLDCqm#H+3TfD-)0C+&@?x3ALFY
#@ ?k'cOBOfS40(I%lB#1-C+svV<+A!
#@ x
#@ 4
#@ !xMTA
#@ x
#@ 94
#@ 6dV<+:tJTA5lC&G1RRU?Kr3F;m8gW?Olq58/Y:EF(FV5B%ZACFi35SAu-%lBatxX?Ai0fDGh
#@ V*F'Z9fD50:s+>Ny|3hy*:@9j+50>w_vFlXKV@uB;:+a,v
#@ x
#@ 5
#@ 3aMTA@v
#@ x
#@ 0
#@
#@ x
#@ 13
#@ #k|Z?qd,r@u,aaE?v
#@ p
#@ 39 0 404 20 0 0 160 0 3 39 39 -1 -1
#@ 404
#@ w0E<!KN2,!Gx%%!#0E<!+`vpvTvZH/m&3,!/uJv!#0E<!9=J*'M`yTv8^w!!JGv!!*cvpv2/
#@ YQ#'qrD!:1fg&5v!!!/!!!!,|/=!dKn<v>Me`BJ>'0.#3WW!0#>6#6,Ts!TG;I/m&3,!/uJv
#@ !#0E<!Lm=+'M`yTv8^w!!a7#!!*cvpv7y60%'qrD!QV-4.5v!!!3!!!!1&|=!+`vpvMvf`B3
#@ n_c&v*<<!3c`W!.c8X!xSa<!=N2,!Jm@%!w6N<!0W&=!5v.w;)No7-G^w!!/K!!!2ylf%x(k
#@ q+.|Js!5v!!!J!!!!+Qr<!?eUqv*m!m#EaDbB/JHK%v*<<!7&B9vxSa<!=N2,!Jm@%!w6N<!
#@ 0W&=!7v.w;)No7-G^w!!/K!!!4nMH&x(kq+.|Js!5v!!!J!!!!+Qr<!Aqgqv*m!m#EaDbB/J
#@ HK%v*<<!:5|TvxSa<!=N2,!Jm@%!w6N<!0W&=!9v.w;)No7-G^w!!/K!!!6+/*'y+kq++BN!
#@ !
#@ 39
#@ ;vIOwKeHK%KeHK%KeHK%K=!kwK!P+'K!P+'K!P+'K!P+'8uJv
#@ 39
#@ b9+L%j@<L%j@<L%1nn*'iLwL.j^lL.j^lL.j^lL.iUQ1.@8Kv
#@ 20
#@ x
#@ 12
#@ kaT5B`qARA@/;EF
#@ x
#@ 4
#@ 8w`TA
#@ x
#@ 20
#@ lj|Z?qd,r@eLa:@iqEY?%xRQB
#@ x
#@ 7
#@ &OQ<@xe|+
#@ x
#@ 5
#@ s85)F1v
#@ x
#@ 0
#@
#@ x
#@ 4
#@ wm<eD
#@ x
#@ 3
#@ kL2-
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ i
#@ 0
#@ x
#@ 4
#@ MyB,E
#@ x
#@ 22
#@ kaT5Bm<NaEomK;@'(ur@g^CkBHSw
#@ x
#@ 22
#@ qd,r@l-?SAg%ap@vkT;@A*q=FFhw
#@ x
#@ 18
#@ kaT5BnEi'Fh!cr@eRo;@Syw
#@ x
#@ 19
#@ kaT5BnEi'Fh!cr@eRo;@05A&
#@ x
#@ 24
#@ kaT5Bd@YjB'p/fDsT/CFyqEY?m'cSA
#@ x
#@ 21
#@ kaT5Bd@YjB6>m#Hvd<iCgKxhC1v
#@ x
#@ 27
#@ kaT5BfR:LCfR9Z?qd,[email protected]^CkBf^;-
#@ x
#@ 28
#@ kaT5Bd@YjB1?0bEld1kBf=+Y?Xv|mA%0K(F
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ 0
#@ 0
#@ 0 0
#@ x
#@ 15
#@ 3aMTAu'V5Bq`ewGh0<-
#@ p
#@ 40 0 331 15 0 0 160 0 3 40 40 -1 -1
#@ 331
#@ w0E<!-fSs!O,uc/ViA9v,|8X!M`yTv8^w!!B/v!!w-*!!*`vpv'qrD!-A77w-ivpvOmm<v>M
#@ e`BBKMT+#3WW!w0E<!2uSs!O,uc/ViA9v1l8X!M`yTv8^w!!B/v!!w-*!!,rXQ#'qrD!-A77
#@ w/&YQ#Omm<v>Me`BBKMT+#3WW!w0E<!4&Ts!O,uc/ViA9v3r8X!M`yTv8^w!!B/v!!w-*!!.
#@ /:3w'qrD!-A77w18:3wOmm<v>Me`BBKMT+#3WW!w0E<!6,Ts!O,uc/ViA9v5#9X!M`yTv8^w
#@ !!B/v!!w-*!!0Apiw'qrD!-A77w3JpiwOmm<v>Me`BBKMT+#3WW!w0E<!82Ts!O,uc/ViA9v
#@ 7)9X!M`yTv8^w!!B/v!!w-*!!2SQK%'qrD!-A77w5yQK%Omm<v'0!!
#@ 40
#@ /JHK%CeHK%CeHK%CeHK%CeHK%CeHK%CeHK%CeHK%CeHK%CeHK%
#@ 40
#@ QMbpvZMbpvZMbpvZMbpvZMbpvZMbpvZMbpvZMbpvZMbpvYMbpv
#@ 15
#@ x
#@ 4
#@ wm<eD
#@ x
#@ 3
#@ kL2-
#@ x
#@ 12
#@ kaT5B`qARA@/;EF
#@ i
#@ 0
#@ x
#@ 5
#@ 3aMTA@v
#@ x
#@ 0
#@
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 22
#@ kaT5Bm<NaEomK;@'(ur@g^CkBHSw
#@ x
#@ 18
#@ kaT5BnEi'Fh!cr@eRo;@Syw
#@ x
#@ 19
#@ kaT5BnEi'Fh!cr@eRo;@05A&
#@ x
#@ 24
#@ kaT5Bd@YjB'p/fDsT/CFyqEY?m'cSA
#@ x
#@ 21
#@ kaT5Bd@YjB6>m#Hvd<iCgKxhC1v
#@ x
#@ 27
#@ kaT5BfR:LCfR9Z?qd,[email protected]^CkBf^;-
#@ x
#@ 28
#@ kaT5Bd@YjB1?0bEld1kBf=+Y?Xv|mA%0K(F
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ 0
#@ 0
#@ 0 0
#@ x
#@ 8
#@ k^YSAqP(yG
#@ x
#@ 1
#@ ?v
#@ p
#@ 2 0 26 4 0 0 8 0 5 2 2 -1 -1
#@ 26
#@ w0E<!.9`W!0&5Uv8^w!!-E!!!-iA=!z
#@ 2
#@ .H!
#@ 2
#@ :E!
#@ 4
#@ x
#@ 6
#@ gd|;@b:%
#@ x
#@ 4
#@ rwIID
#@ x
#@ 2
#@ >Kv
#@ x
#@ 3
#@ g'i-
#@ 0
#@ 0
#@ 1 2
#@ 1
#@ ?v
#@ 0 0 256
#@ 3
#@ g'i-
#@ 1 0 0
#@ x
#@ 13
#@ qd,r@dIa:@e-%lB.v
#@ x
#@ 6
#@ 'E%6B_-v
#@ p
#@ 1 0 7 1 0 0 4 0 2 1 1 -1 -1
#@ 7
#@ ,|&v!)'!!
#@ 1
#@ z
#@ 1
#@ '!
#@ 1
#@ x
#@ 21
#@ w3F/D'gi'FrZ!iCdIa:@e-%lB.v
#@ 0
#@ 0
#@ 1 1
#@ 4
#@ %N|(F
#@ 0 0 256
#@ x
#@ 21
#@ w3F/D'gi'FrZ!iCdIa:@e-%lB.v
#@ x
#@ 5
#@ %N|(FA!
#@ p
#@ 10 0 96 15 0 0 40 0 5 10 10 -1 -1
#@ 96
#@ )Nr<!8^w!!;o!!!w3E<!19N<!3|`W!7MkUv;u&s!5v!!!4!!!!2G^3w/)Ppv:&0s!5/|X!-B
#@ W<!?PC3w-`8s!75|X!-BW<!7NE<!?M1qv6P60%,?W<!69*!!
#@ 10
#@ Jwao#CV17#10!
#@ 10
#@ |3yS#Ct6Ow*-!
#@ 15
#@ x
#@ 14
#@ #k|Z?r0H8Aw9fwGJ%%
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 1
#@ P!
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ x
#@ 22
#@ kaT5Bm<NaEomK;@'(ur@g^CkBHSw
#@ x
#@ 4
#@ *dmID
#@ x
#@ 1
#@ -v
#@ x
#@ 14
#@ 4rBfD1-0CFq&fwGJ%%
#@ x
#@ 27
#@ fF'Z?qd,r@dIa:@e-%lBpA>cEh7|7An1g+
#@ x
#@ 5
#@ )lP)F1v
#@ x
#@ 19
#@ ,v(Z?!aiaEmo3mBm+BRAyOl,
#@ x
#@ 4
#@ 8w`TA
#@ x
#@ 5
#@ s85)F1v
#@ x
#@ 21
#@ w3F/D'gi'FrZ!iCdIa:@VhrU@?v
#@ x
#@ 18
#@ #k|Z?(FuSAhaBq@c^CkBHSw
#@ 0
#@ 0
#@ 1 3
#@ 4
#@ %N|(F
#@ 0 0 256
#@ 8
#@ o4jY?m'cSA
#@ 1 0 0
#@ 4
#@ g3IID
#@ 2 0 0
#@ x
#@ 14
#@ #k|Z?r0H8Aw9fwGJ%%
#@ p
#@ 7 0 70 13 0 0 28 0 6 7 7 -1 -1
#@ 70
#@ )Nr<!I>e`B;a9?)v*<<!&<`W!*TA9v.lvpv3on9v?o=6#4;tl#9;T=!:Apiw3rn9v-W&=!Dv
#@ mjw/QE<!;#5pvw!!
#@ 7
#@ 3Y6OwDy##
#@ 7
#@ UN>N%Je|v
#@ 13
#@ x
#@ 3
#@ _e|+
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ x
#@ 6
#@ sT2TAIPw
#@ x
#@ 8
#@ m'cSANjkSA
#@ x
#@ 1
#@ +v
#@ x
#@ 5
#@ n0Hr@7v
#@ x
#@ 12
#@ #graEv_Bq@,0B(F
#@ x
#@ 4
#@ m'cSA
#@ x
#@ 6
#@ gpbSAPSw
#@ x
#@ 6
#@ AP5cE?Sw
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 1
#@ P!
#@ x
#@ 5
#@ r!2kB>v
#@ 0
#@ 0
#@ 0 1
#@ 8
#@ m'cSANjkSA
#@ 0 0 0
#@ x
#@ 14
#@ 4rBfD1-0CFq&fwGJ%%
#@ x
#@ 10
#@ (KxhCN1-.DQ-v
#@ p
#@ 17 0 213 23 2 1 88 2 10 17 21 -1 -1
#@ 213
#@ w0E<!(H&s!*N&s!+Q&s!,T&s!CY,>!8^w!!2V'!!*i=6#4;tl#=MGY!g@#!!ee6(!H,FN-gE
#@ EfR8SUNw<P>:v8P60%.EW<!--<<!BJ:3w0T`<!Q.taBLP|f.v*<<!f!!!!6^w!!/K!!!12>:
#@ vI,s<!Hv(g7.CI.!4qVH&7P60%DPe`Bbl^l5#3WW!@A#>!T,PU,=;'v!Bb>:vJjJE'5v!!!-
#@ !!!!@=N-&?@e`'4oSs!eFNH&>thc&FO+'(2iSs!G;O8sBA6-&z
#@ 17
#@ C^No#L2#Y!_cpw)>2|X!7!
#@ 21
#@ Pf3<!:'Le#-kv:wIT%m5`NOkw/!
#@ 23
#@ x
#@ 4
#@ q#TCF
#@ x
#@ 6
#@ hIa:@PSw
#@ x
#@ 11
#@ m9.bEi`JT8eIl,
#@ x
#@ 1
#@ R!
#@ x
#@ 22
#@ q3HQBl#sJD8o(`FnlrJDu_PcEX%%
#@ x
#@ 21
#@ q3HQBkoW/DmgY8AnT_5BgKxhC1v
#@ x
#@ 18
#@ hgtdD!CIIDkcreDb^CkBHSw
#@ x
#@ 4
#@ wm<eD
#@ x
#@ 4
#@ 4|k)F
#@ x
#@ 3
#@ j#L%
#@ x
#@ 2
#@ FVw
#@ x
#@ 5
#@ sB-<@Ev
#@ x
#@ 6
#@ 1lo(F^(%
#@ x
#@ 21
#@ 6dV<+:mui@26nlBPPW(46dV<+A!
#@ x
#@ 6
#@ !xMTAFVw
#@ i
#@ 0
#@ x
#@ 0
#@
#@ x
#@ 4
#@ DP)*F
#@ x
#@ 12
#@ h-ur@E5>F+91VE+
#@ x
#@ 25
#@ ruwlB/wJ9>gd|;@>AufA'%U;@(y(S.A!
#@ x
#@ 8
#@ k^YSAqP(yG
#@ x
#@ 4
#@ wg;3I
#@ x
#@ 5
#@ P!i<+Iv
#@ 2
#@ L 0 60 144 210 50 -1
#@ C 1 105 20 -1 -1 123
#@ 1
#@ F
#@ 1 2 3
#@ 1
#@ 4
#@ 1 7
#@ 8
#@ m'cSANjkSA
#@ 0 0 256
#@ 12
#@ 'ZPDFd%+:@EAl)F
#@ 1 0 0
#@ 0
#@
#@ 2 0 512
#@ 0
#@
#@ 3 0 512
#@ 3
#@ kL2-
#@ 4 0 0
#@ 6
#@ 7,V`FW+%
#@ 5 0 0
#@ 4
#@ .0B,E
#@ 6 0 0
#@ x
#@ 21
#@ w3F/D'gi'FrZ!iCdIa:@VhrU@?v
#@ p
#@ 5 0 80 12 1 1 20 1 6 5 5 -1 -1
#@ 80
#@ 32fv!8^w!!y(#!!w3E<!(H&s!3)T=!9_Hjw1o=6#>J,>!g@#!!ee6(!G#+3-:|/=!?e64w1f
#@ As!3L2!!8^w!!/K!!!.8UNw>>##!
#@ 5
#@ RM+7,1!
#@ 5
#@ +T>5w/!
#@ 12
#@ x
#@ 0
#@
#@ x
#@ 4
#@ q'-W@
#@ x
#@ 11
#@ :6Hr@06FiCZLc,
#@ x
#@ 10
#@ 9&TbE#KffDb:%
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 1
#@ P!
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ x
#@ 4
#@ @)qU@
#@ x
#@ 6
#@ sT2TAIPw
#@ x
#@ 7
#@ B9:8@g^;-
#@ x
#@ 1
#@ A!
#@ x
#@ 28
#@ kaT5Bd@YjB1?0bEld1kBf=+Y?Xv|mA%0K(F
#@ 1
#@ L 0 49 10 62 42 -1
#@ 1
#@ F
#@ 1 1 2
#@ 1
#@ 3
#@ 0 4
#@ 7
#@ B9:8@g^;-
#@ 0 0 0
#@ 0
#@
#@ 1 0 512
#@ 0
#@
#@ 2 0 512
#@ 3
#@ kL2-
#@ 3 0 0
#@ x
#@ 21
#@ w3F/D'gi'FrZ!iC`jbSAp#K^F<v
#@ p
#@ 3 0 36 10 0 0 16 0 6 3 3 -1 -1
#@ 36
#@ /rS=!;-x^)(H&s!,`yTv5Qr<!<)Ks!/HW<!Rlqo+/Zr!!
#@ 3
#@ <V##
#@ 3
#@ xrE!
#@ 10
#@ x
#@ 27
#@ kaT5BfR:LCfR9Z?qd,[email protected]^CkBf^;-
#@ x
#@ 5
#@ s85)F1v
#@ x
#@ 4
#@ m'cSA
#@ x
#@ 6
#@ gpbSAPSw
#@ x
#@ 6
#@ AP5cE?Sw
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 1
#@ P!
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ x
#@ 15
#@ 3aMTAu'V5Bq`ewGh0<-
#@ x
#@ 0
#@
#@ 0
#@ 0
#@ 0 0
#@ x
#@ 27
#@ fF'Z?qd,r@dIa:@e-%lBpA>cEh7|7An1g+
#@ x
#@ 14
#@ (KxhCN1-.D%)^aEQ(%
#@ p
#@ 14 0 131 13 0 0 60 0 4 14 14 -1 -1
#@ 131
#@ ,|&v!,cSs!/)13w8;y`B64y`'#3WW!><u+!3oh)Bz1fSs!/)13w+l4pv(0*!!0KA9v.EW<!,
#@ H<<!2`8s!,|&v!1rSs!/)13w//Ypv(0*!!4oXQ#.EW<!,H<<!6`8s!,|&v!5)Ts!/)13w3G(
#@ qv(0*!!8>piw**!!
#@ 14
#@ 9yLm#Mngm#Engm#3<!
#@ 14
#@ f?J8#Engm#Engm#29!
#@ 13
#@ x
#@ 4
#@ DP)*F
#@ x
#@ 33
#@ =P6X5r*r<+fF'Z?w3F/D'gi'FrZ!iCdIa:@e-%lB.v
#@ x
#@ 5
#@ uKhgCA!
#@ x
#@ 7
#@ =P6X5R^`w
#@ x
#@ 31
#@ 91VE+ri+@G,XVTAp<HSAihrU@gKxhCnWg:@f4g+
#@ x
#@ 20
#@ 91VE+!aiaEvLQ3Bq9VgChIs#?
#@ x
#@ 51
#@ 4CDE+?NfCFP'bH0^YU^,ycU?+wDblB,7DoA;%#lB4RME+B`xQHw!saEQ0(d0:i,.
#@ x
#@ 38
#@ :tJTA6ovlBh|2jB'E(yGjf<iCulSCF3+uD+(XKV@K/qT,=;w
#@ x
#@ 38
#@ 9nATAqKDTAF.fK/6nCE+6NZ?E=Jd#Hg*;1C)+VE+(XKV@c,v
#@ x
#@ 40
#@ 9nATA,rP-E`:p!.).Kp-ZT<p>il+t+/=4=I<NQC+'OcC++xuC+
#@ x
#@ 56
#@ KW&dEn=+Y?w&|C+LReK/C?pBDbnmX?7,V`F'^m*E9H,502WIhCjcreDdRo;@QhNV,%*qU@
#@ x
#@ 1
#@ Iv
#@ x
#@ 4
#@ 2u5DF
#@ 0
#@ 0
#@ 2 2
#@ 8
#@ m'cSANjkSA
#@ 0 0 256
#@ 4
#@ %N|(F
#@ 1 0 256
#@ x
#@ 18
#@ #k|Z?(FuSAhaBq@c^CkBHSw
#@ p
#@ 8 0 116 12 0 0 36 0 6 8 8 -1 -1
#@ 116
#@ w0E<!1#T=!5S6jw0re9v;Yq9-#_w!!/K!!!)`vpv?ntt!5v!!!Q!!!!w-<<!1Gwjw*TA9v;,
#@ GUvn2_Q5m&3,!/uJv!#0E<!EOe*'R8:3w!N3,!/uJv!#0E<!Fg4+'8^w!!/K!!!,&tl#@_##
#@ !
#@ 8
#@ E'#i%b`oh%
#@ 8
#@ ;enF0^w'M%
#@ 12
#@ x
#@ 4
#@ m'cSA
#@ x
#@ 6
#@ 1lo(F^(%
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 1
#@ P!
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ x
#@ 17
#@ 7pTZ?ve'Z?qUbOBgKxhC1v
#@ x
#@ 24
#@ kaT5Bd@YjB'p/fDsT/CFyqEY?m'cSA
#@ x
#@ 4
#@ 8w`TA
#@ x
#@ 15
#@ 7pTZ?3CpV@m@|mAytf+
#@ x
#@ 21
#@ kaT5Bd@YjB6>m#Hvd<iCgKxhC1v
#@ x
#@ 0
#@
#@ x
#@ 5
#@ s85)F1v
#@ 0
#@ 0
#@ 0 0
#@ x
#@ 19
#@ ,v(Z?!aiaEmo3mBm+BRAyOl,
#@ x
#@ 30
#@ o4jY?m'cSA:uMTAF%aWF4'MV6t!>SAa%YbD@Sw
#@ p
#@ 47 0 617 38 2 0 232 1 8 47 55 -1 -1
#@ 617
#@ =Sq9-|^w!!E8v!!#**!!&<`W!2?W<!-BE<!1H<<!4c&=!B4`H&_y)jBw=&9=v*<<!>Vq9-|^
#@ w!!E8v!!#**!!,rXQ#8c8=!3BE<!1H<<!4c&=!B4`H&+#PdBEfIQ,v*<<!w0E<!75T=!6G(q
#@ v2G^3w-u4Uv6/Ypv;,9s!5v!!!Q!!!!;.*kwgfvdB>'6<*v*<<!0G60%=,f=!M13-&<vNH&?
#@ _(;vZ+ic&8^w!!.H!!!6+/*'NMe`B.A-0%v*<<!MO_qv8^w!!.H!!!6+/*'PSe`BbiLQ5v*<
#@ <!E*<<!Z^w!!<r!!!/ApiwJ4nmwEk>:v<#Ts!Z+ic&8^w!!n^#!!:I+'(,e<)!p53,!0&Tv!
#@ #0E<!C1_':Les<!xSa<!Ar4dB.A-0%v*<<!Rd%8#DRE5w4Z/s!5v!!!+!!!!5/p<vKte`B@:
#@ u8Fv*<<!Ceq9-`^w!!HAv!!=d'w),e<)!HqQ>!NPbpv:N2,!+|&v!crgl5Z+ic&AY4,!^;L'
#@ !#0E<!X%2?!e-96wNd*svxY5:,5v!!!5!!!!D=V?!U5,UvC%DVv8^w!!+?!!!1;l!,;Vkt!5
#@ v!!!a!!!!qJqQ5824,!O6n%!,,:3wTo!%*5v!!!.!!!!U/qK&>N2,!+|&v!d&.36aRe`';o8
#@ X!Z+ic&QKf6wN-XsvjZs(1E!<rrbXkt!5v!!!O!!!!hF#!!GoRQ,6`8X!xKn<vujZRI#3WW!
#@ ?WW<!9rtsvm,o<vKte`B:Xs#)v*<<!%&yR5!6SQ,7c8X!Z+ic&z
#@ 47
#@ 8bgm#U(hm#Zsv+'`Q,N%Z'9h%XNgw)Sd&M%JLi-&PLdjwZsrL%Txmn#V4Uv
#@ 55
#@ !w!!!<Jr;veIr;v>@&a0l*sL%/w*!!2,QT#=b&M%wboZ-uDMU4WF.4wRxy4w#@;D)F;X!
#@ 38
#@ x
#@ 4
#@ *dmID
#@ x
#@ 1
#@ Hv
#@ x
#@ 18
#@ kaT5BnEi'Fh!cr@eRo;@Syw
#@ x
#@ 16
#@ JaGQB*kbD+))2dEV%BE+
#@ x
#@ 23
#@ lj|Z?!aiaEw>m#H8&Z)F5mNaEt-E-
#@ x
#@ 4
#@ J2*D+
#@ x
#@ 12
#@ vAE-0;oU6036viC
#@ x
#@ 1
#@ >v
#@ x
#@ 19
#@ kaT5BnEi'Fh!cr@eRo;@05A&
#@ x
#@ 21
#@ JaGQB*kbD+-,ZS?w:7hCMD/yEA!
#@ x
#@ 23
#@ lj|Z?!aiaEw>m#H8&Z)F5mNaEt-E-
#@ x
#@ 23
#@ lj|Z?!aiaEw>m#H8&Z)F5mNaEt-E-
#@ x
#@ 27
#@ kaT5BfR:LCfR9Z?qd,[email protected]^CkBf^;-
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 1
#@ P!
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ x
#@ 10
#@ 3XKV@:.BbCMYw
#@ x
#@ 1
#@ Cv
#@ x
#@ 0
#@
#@ i
#@ 0
#@ x
#@ 4
#@ 8w`TA
#@ x
#@ 4
#@ DP)*F
#@ x
#@ 8
#@ 'paU64eWP.
#@ x
#@ 30
#@ ekTfD<T;*:3cN<@&2oC+jFfRA3V#D+0lTKDZKv
#@ x
#@ 1
#@ R!
#@ x
#@ 13
#@ Ip><@GtJV6t?_(2K!
#@ x
#@ 6
#@ :<ylBLYw
#@ x
#@ 5
#@ wRH<@8v
#@ x
#@ 7
#@ 9WmIDeI-,
#@ x
#@ 1
#@ X!
#@ x
#@ 7
#@ =P6X5R^`w
#@ x
#@ 1
#@ B!
#@ x
#@ 1
#@ A!
#@ x
#@ 5
#@ s85)F1v
#@ x
#@ 4
#@ +u(*F
#@ x
#@ 4
#@ u3uSA
#@ x
#@ 5
#@ )lP)F1v
#@ x
#@ 0
#@
#@ 2
#@ L 0 237 287 541 525 -1
#@ C 0 558 12 -1 -1 568
#@ 0
#@ 3 10
#@ 8
#@ o4jY?m'cSA
#@ 0 0 256
#@ 5
#@ f9&P9d!
#@ 1 0 256
#@ 15
#@ f9&P9RZwNBWW+l9Zyf+
#@ 2 0 256
#@ 4
#@ g3IID
#@ 3 0 0
#@ 3
#@ d4w,
#@ 4 0 0
#@ 4
#@ lWNeD
#@ 5 0 0
#@ 9
#@ ,uk)Fdd;JD1v
#@ 6 0 0
#@ 10
#@ jFfRAXOg:7x%%
#@ 7 0 0
#@ 4
#@ u3uSA
#@ 8 0 0
#@ 5
#@ bT/bE>v
#@ 9 0 0
#@ x
#@ 15
#@ qd,r@s&O+E,=^Z?YYK+
#@ p
#@ 9 0 82 14 0 0 36 0 5 9 9 -1 -1
#@ 82
#@ /rS=!1Gwjw'E&s!H=rH&*TA9v?Ae`B4v&*'v*<<!2uA=!1rSs!8BW<!:,,pv/#YQ#7c&v!.E
#@ W<!<,,pv15:3w88UNw88o9v8S:qvv!!
#@ 9
#@ AqCm#CV17#,!
#@ 9
#@ TFxS#Ct6Ow%!
#@ 14
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 1
#@ P!
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ x
#@ 22
#@ kaT5Bm<NaEomK;@'(ur@g^CkBHSw
#@ x
#@ 21
#@ w3F/D'gi'FrZ!iCdIa:@VhrU@?v
#@ x
#@ 4
#@ *dmID
#@ x
#@ 1
#@ -v
#@ x
#@ 14
#@ 4rBfD1-0CFq&fwGJ%%
#@ x
#@ 29
#@ fF'Z?qd,r@s&O+E,=^Z?c_dX?,CHr@v9siC1v
#@ x
#@ 5
#@ )lP)F1v
#@ x
#@ 19
#@ ,v(Z?!aiaEmo3mBm+BRAyOl,
#@ x
#@ 4
#@ 8w`TA
#@ x
#@ 5
#@ s85)F1v
#@ x
#@ 21
#@ w3F/D'gi'FrZ!iC`jbSAp#K^F<v
#@ 0
#@ 0
#@ 1 3
#@ 4
#@ %N|(F
#@ 0 0 256
#@ 8
#@ o4jY?m'cSA
#@ 1 0 0
#@ 4
#@ g3IID
#@ 2 0 0
#@ x
#@ 29
#@ fF'Z?qd,r@s&O+E,=^Z?c_dX?,CHr@v9siC1v
#@ x
#@ 13
#@ m'cSANjkSA'E%6B?v
#@ p
#@ 9 0 109 8 1 1 36 1 4 9 9 -1 -1
#@ 109
#@ ,|&v!,cSs!8^w!!MPv!!%<`W!C&0s!d!!!!eC#!!XJIL&,|&v!35>:v1fSs!4!MU!)0*!!1T
#@ yTv.EW<!?JJ`B64y`'#3WW!><u+!3oh)Bz.EW<!,H<<!3`8s!,|&v!2uSs!z
#@ 9
#@ W,Vf&F@`g%*!
#@ 9
#@ lB/n#b1Vm#)!
#@ 8
#@ x
#@ 4
#@ DP)*F
#@ x
#@ 30
#@ 91VE+yM*:@!^IIDX_!Y?o4jY?r^oRAuoggCOSw
#@ x
#@ 28
#@ kaT5Bd@YjB1?0bEld1kBf=+Y?Xv|mA%0K(F
#@ x
#@ 8
#@ i%97AgkyC+
#@ x
#@ 0
#@
#@ x
#@ 36
#@ =P6X5r*r<+fF'Z?qd,r@s&O+E,=^Z?c_dX?,CHr@pN_TA
#@ x
#@ 7
#@ =P6X5R^`w
#@ x
#@ 4
#@ 2u5DF
#@ 1
#@ L 0 36 12 51 29 -1
#@ 1
#@ F
#@ 1 2 3
#@ 1
#@ 4
#@ 2 5
#@ 8
#@ m'cSANjkSA
#@ 0 0 256
#@ 4
#@ %N|(F
#@ 1 0 256
#@ 0
#@
#@ 2 0 512
#@ 0
#@
#@ 3 0 512
#@ 2
#@ =Jw
#@ 4 0 0
#@ x
#@ 18
#@ qd,r@yR>SAfsUgCkg,<@b:%
#@ x
#@ 11
#@ 0Q%hCgKxhC,tEw
#@ p
#@ 10 0 99 15 0 0 40 0 5 10 10 -1 -1
#@ 99
#@ /rS=!1Gwjw'E&s!H=rH&9N2,!4>##!#0E<!1NE<!@4`H&-fvpv5|8X!6Sp3w25bpv/o=6#7)
#@ tl#2Q/X!.EW<!5TN<!7`8s!9;|X!-BW<!9NE<!BS:qv7YQK%(w!!
#@ 10
#@ GFIOwG17n#3B!
#@ 10
#@ Vv)7#Gh17#0-!
#@ 15
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 1
#@ P!
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ x
#@ 22
#@ kaT5Bm<NaEomK;@'(ur@g^CkBHSw
#@ x
#@ 4
#@ *dmID
#@ x
#@ 1
#@ -v
#@ x
#@ 14
#@ 4rBfD1-0CFq&fwGJ%%
#@ x
#@ 4
#@ DP)*F
#@ x
#@ 36
#@ =P6X5r*r<+fF'Z?qd,r@yR>SAfsUgCg'm*E!YfRA(pDTA
#@ x
#@ 7
#@ A;lcE_iGw
#@ x
#@ 7
#@ =P6X5R^`w
#@ x
#@ 5
#@ )lP)F1v
#@ x
#@ 19
#@ ,v(Z?!aiaEmo3mBm+BRAyOl,
#@ x
#@ 5
#@ s85)F1v
#@ x
#@ 21
#@ w3F/D'gi'FrZ!iC`jbSAp#K^F<v
#@ 0
#@ 0
#@ 1 3
#@ 9
#@ o4jY?m'cSAQ!
#@ 0 0 256
#@ 8
#@ o4jY?m'cSA
#@ 1 0 0
#@ 4
#@ g3IID
#@ 2 0 0
#@ x
#@ 22
#@ qd,r@f9DTAf=+Y?/EelBu'VgCBJw
#@ p
#@ 11 0 113 17 0 0 44 0 5 11 11 -1 -1
#@ 113
#@ )Nr<!8^w!!;o!!!w3E<!19N<!3|`W!7MkUv;u&s!5v!!!4!!!!2G^3w/)Ppv:&0s!5/|X!-B
#@ W<!6TN<!6`8s!62|X!6&'v!1fSs!7Yw4w5G(qv4Gpiw5|8X!<vR4w2,f=!=fAs!9)s<!z
#@ 11
#@ Jwao#I7*1%<#0v
#@ 11
#@ |3yS#G17n#7ZE!
#@ 17
#@ x
#@ 14
#@ #k|Z?r0H8Aw9fwGJ%%
#@ x
#@ 18
#@ kaT5Bm<NaE1fsiCqRo;@Syw
#@ x
#@ 1
#@ P!
#@ x
#@ 15
#@ kaT5BgxUgCr6m*E_I-,
#@ x
#@ 22
#@ kaT5Bm<NaEomK;@'(ur@g^CkBHSw
#@ x
#@ 4
#@ *dmID
#@ x
#@ 1
#@ -v
#@ x
#@ 14
#@ 4rBfD1-0CFq&fwGJ%%
#@ x
#@ 4
#@ DP)*F
#@ x
#@ 45
#@ =P6X5r*r<+fF'Z?qd,r@f9DTAf=+Y?/EelBu'VgCjxTZ?,CHr@(okcE1v
#@ x
#@ 7
#@ A;lcE_iGw
#@ x
#@ 7
#@ =P6X5R^`w
#@ x
#@ 5
#@ )lP)F1v
#@ x
#@ 19
#@ ,v(Z?!aiaEmo3mBm+BRAyOl,
#@ x
#@ 5
#@ s85)F1v
#@ x
#@ 4
#@ 8w`TA
#@ x
#@ 21
#@ w3F/D'gi'FrZ!iC`jbSAp#K^F<v
#@ 0
#@ 0
#@ 1 3
#@ 9
#@ o4jY?m'cSAQ!
#@ 0 0 256
#@ 8
#@ o4jY?m'cSA
#@ 1 0 0
#@ 2
#@ Mtw
#@ 2 0 0
#@ x
#@ 15
#@ rpwhC;Z2b3<?<+EfqT+
#@ 0
#@ 0
#@ }
#@ # -- End source /cad/synopsys/synthesis/cur/auxx/syn/lc_commands.tbc

#@
#@ if { $synopsys_program_name == "dc_shell" && \
#@ [shell_is_in_topographical_mode] && [string match "*NXT Version*"
$sh_full_product_version]} {
#@ source ${synopsys_root}/auxx/syn/libcorr.tbc
#@ }
#@
#@ if {$synopsys_program_name == "dc_shell"} {
#@ if {[string match "*NXT Version*" $sh_full_product_version]} {
#@ source ${synopsys_root}/auxx/syn/scripts/set_cdc_restrictions.tbc
#@ }
#@ }
#@ # -- Starting source
/cad/synopsys/synthesis/cur/auxx/syn/scripts/set_cdc_restrictions.tbc

#@ # (c) 2022 Synopsys, Inc. All rights reserved.


#@ #
#@ # This script is proprietary and confidential information of
#@ # Synopsys, Inc. and may be used and disclosed only as authorized per
#@ # your agreement with Synopsys, Inc. controlling such use and disclosure.
#@ # TclPro::Compiler::Include
#@
#@ if {[catch {package require tbcload 1.6} err] == 1} {
#@ return -code error "[info script]: The TclPro ByteCode Loader is not
available or does not support the correct version -- $err"
#@ }
#@ tbcload::bceval {
#@ TclPro ByteCode 2 0 1.7 8.5
#@ 5 0 71 20 0 0 60 0 8 5 5 -1 -1
#@ 71
#@ w0E<!(H&s!/HW<!;Ki<!5/Ypv1#YQ#12tl#8SUNw<k60%>b1R#9J>>!8Qr<!>fAs!:qlf%6G
#@ :3w?7NH&BFic&/9!!
#@ 5
#@ J05+',!
#@ 5
#@ UmNPw3!
#@ 20
#@ x
#@ 9
#@ njkSAt=sp@1v
#@ x
#@ 4
#@ uKhgC
#@ x
#@ 3
#@ A.0(
#@ x
#@ 136946
#@ u!V<+9bN<@ktWU@eOTB;cy8Q8gA'<wLdV<+*XxlBagbSA)ZLu5)P::+Mn%sFja0;@u@#D+m@
#@ Cf:>m977ZvV<+9bN<@ktWU@4@(sFu`mdDwy;:+Mn%sFja0;@u@#D+hNRw6%lkcEf<v>wLdV<
#@ +*XxlBagbSA'xBq@X3/k99@<:+Mn%sFja0;@u@#D+jDpJ;p#TbEj_c|G6dV<+6,aaEegpgCu
#@ MngCQU)r7f<v>wLdV<+*XxlBagbSA@&lo@3QBfD-ZbDF6dV<+6,aaEegpgC!TvhCQU)r7J@1
#@ Y6iNa>wLdV<+*XxlBagbSAGjd|GMT<eD24<:+Mn%sFja0;@u@#D+WG!QA06C?wLdV<+*XxlB
#@ agbSA5Q?<@=fBfD6;)*Fi%u)FjX;:+Mn%sFja0;@u@#D+tiE+E2fbDFi?n(Fh^m=wLdV<+*X
#@ xlBagbSAG:wLDY?n(Fh^m=wLdV<+9,aaEegpgC47r_F`gZZ6hp^LCT<:4wLdV<+qK+9@ktWU
#@ @2.G<FCR8EF3S#D+w3F/Ds/B(FqK>nAmX|7A6dV<+2SPcE:a,!F8IfZE6AccEZ>FiCt%oC+.
#@ 3;4wLdV<+QQZ.:Vb=i9OMvJ:3H/K:'oVL9!4gL9@!2L9+>+F;:w;L9t0#!61sDJ:>9cO8*M:
#@ :+G8-wE1>fC+VVmX?wm<eDn&seDx.jY?g3IID4mZQHjDu,<;M#D+0Kk_F)5:JDsa&<I<H<:+
#@ LdV<+G8-wEIXME+a0:oA'%U;@26sJD4^'QA73=JD0APQBF_'RHx8.9@UMqT,<-aaEoo2TAM+
#@ dfDWJb'Gtf_TAM+dfD3P#gDr;#j@!:_LC-rW/D%ntD+/)Q)F)FV5B<%u)FHTto/F@ip6G(GJ
#@ 0Rog6wLdV<+>#V<++olRAo3uSA(fTfDdNACF)kKV@9HK(Fl'9q@i<;oAn*IhCkdggCX.';@'
#@ 2#D+/^,8Ab'mdDL=fK/fCT7A^Z:4wLdV<+QQZ.:Vb=i9OMvJ:3H/K:,DnI:*_J;6H)s'<,(Q
#@ D<3M::+G8-wE1>fC+VVmX?wm<eD!l1`FmwRdDaCBq@KUNgD(ei|DfNSuG1Vi|DHQ:8@<gRF+
#@ <#V<+LdV<+GH8`FKr:s+Yl<eD,wBCFMk8c3l_0`F8WpT,.+;1C^_'RHyl,,<CAx:IP`NgDHQ
#@ :8@J;%q/F@ip6G(GJ0Sog6wLdV<+>#V<++olRAo3uSA(fTfDdNACF)kKV@9HK(Fl'9q@i<;o
#@ Ap?<eDs%vY?mxPSAF.fK/gLoRAapb8AP?tIBc=oRAnH8:+O*S9,,A+F;NWun7ZrYA+c@2&=r
#@ ;Zj8s|1B+-R(B+3-2B+Z(t/:ZvV<+D-<cEv9#j@ji+@Gmm'Z?#FRdDaCBq@KUNgD(ei|DHQ:
#@ 8@:mZQH;PccE<.Js+b`xQH6dV<+LdV<[email protected]<F+KAkB8WpT,
#@ .+;1C^_'RHV642:(8x:IFx>UA7I-<@j-1m-noMO/4yN5.8vV<+>wO@wLdV<+epCkBo0m*Epv
#@ nX?3DccE,&MEF(i#j@ji+@Gmm'Z?#FRdDaCBq@K?tIB^b*Y?&i:vH@(TkBh?mIDu!V<+O!r<
#@ +ZAX/:u0f@+8kn|;0?MB+v0`J:S*k:6o5(j7NW?W60S!d98,_K83sc29PfQ87ZvV<+D-<cEv
#@ 9#j@ji+@Gmm'Z?1^-x?/*s+E&&oC+.+;1CNjkSADn<@wLdV<+LdV<+DP)*FqW49@/!=JD754
#@ w<bXo|;;xaU6tFte:!*[email protected](;X->6ME+>OVE+kZ<JD&osdD?AccEj3uSA
#@ &,:eDA7r_F3-R;@/'=JD#ZFID#osdD2;oC+wo1*F(CQC+(Q5DF;>.>+i9XU6:d-)2A;9:+TX
#@ 93I6dV<+qK>nAh++Y?,CHr@uqCEF*'f^F9+ME+VVmX?009KDhg0Z?mxPSAF.fK/gLoRAapb8
#@ AP?tIBc=oRAnH8:+O*S9,tMZS7Q6tt5yG=/:ZrYA+c@2&=+.c)<X:?)<ZrYA+)3VB+C'6876
#@ dV<+>eTfDl`H7AfH<JDo<7ID_(+Y?4U%F+.+;1C(*;1CNjkSADn<@wLdV<+LdV<+DP)*Fp#l
#@ FD,Qs/D%<XeD+4/p52gR.D33=JD7+dfD4bLyGP0B@+P&Ts7+ct)F)<..D',:eDS_'RH053;I
#@ P`NgDHQ:8@J;%q/F@ip6G(GJ0Tog6wLdV<+>#V<++olRAo3uSA(fTfDdNACF)kKV@9HK(Fl'
#@ 9q@i<;oAjF|;@d+|mAP:fK/gLoRAapb8AP?tIBc=oRAnH8:+O*S9,tMZS7Q6tt5yG=/:ZrYA
#@ +c@2&=<'/B=,Sja;T01V6yG=/:#G'f:@x'q6T|PA+I3lO8`POF;6dV<+>eTfDl`H7AfH<JDn
#@ 3q-D6'OaE9xVE+.+;1CNjkSADn<@wLdV<+LdV<+DP)*Fp#lFD,Qs/D%<XeD+4/p5=G.#H+S5
#@ D+6#;EF*wTCF1oG)FE?)E+'0AlB37r_F.plRA'NB,E7f5DF3+uD+rso|;D3U77XicRA>opT,
#@ .+;1CNjkSAj-1m-noMO/5e`5.8vV<+>wO@wLdV<+epCkBo0m*EpvnX?3DccE,&MEF(i#j@y@
#@ YjBoO0Z?1^-x?6#;EF@([email protected]+/^,8AcEs>w6dV<+rTdQ6hp^LCwXKV@&XxlB<sC9
#@ G2k>D+0jVTAwh0;@0oATA91VE+2|G)FqK>nAmX|7AE?)E+gp><@6dV<+>eTfDl`H7AZCbOB_
#@ .aY?!aiaE#x6x?2|G)FZSSF+<#V<+LdV<+:tJTA6ovlBVp;JDvLQ3Bq9VgCg'm*E7FME+IDv
#@ mBEhiN.QL0D;T,>hA&aVTAD3^BDuC#D+c+SB;eBulH83x;@Q<nW4w`4c;uB;:+LdV<+@NncB
#@ )K.C>3'8UAdh`p@w1cSA'<XeDO_'RHT-vh:?:0<IRog?+j_xQH6dV<+LdV<+LdV<+1=,D+-'
#@ 5)Fh!cr@q#/gC@;2EFRNRKD,!`TAi35SAuNACF)kKV@;Y#D+IDvmB?2qT,*YKV@gyG)F=WrS
#@ AKP;EFf=+Y?:p2TAs/:s+F0-C+BM`H0Dn<@wLdV<+LdV<+LdV<+LdV<+DP)*FqW49@/!=JD3
#@ fq`:.pmIDv%hgC7AK(F2hm`Cg'm*E7FME+4sRQB8b(yG4R?<@wU/CF;M#D+%r>0A1j&n/F@i
#@ p6H1be0Uog6wLdV<+LdV<+LdV<+>#V<+LdV<+T@PF+6dV<+>N<:+;!<0Ao|<JDsA>cE_M*:@
#@ ;<ylB('WTA'aH7AZCbOB_.aY?!aiaE#x6x?2|G)F@([email protected]+/^,8AcEs>w6dV<+>e
#@ TfDl`H7AZCbOB_.aY?.<JlB(dmlBm4'7A'Y#D+5g!/DO357@CgRF+<#V<+LdV<+O!r<+XMiP
#@ 8Ru7Q8#6,m6q6T@+)!uA+Z-@:wLdV<+LdV<+91VE+#YX:@!LI9>rmBq@&|ACFL*Cq,DC;:+L
#@ dV<+@NncB)K.C>pR5SAP9xQHMY`6AMY`6Ak(%y>s|^6A*dRF+Rm7#Hk?VTADORF+<#V<+LdV
#@ <+LdV<+9nATA)acW@<negC0TI^>eLqT,=wi9A/Mx:IP!i<+#YX:@%y;:+LdV<+LdV<+@NncB
#@ GYU^,=wi9A/Mx:I2n_?+A,&lBx-*!7/Mx:IDn<@wLdV<+LdV<+LdV<+LdV<+DP)*Fp/_^EZ.
#@ |c3*D1`F%3DTA>DelB#3B3@p`FU64P5cE9MfCFV(9q@!BK(F*tfq@26sJD<APQB*DVHB&&ZK
#@ A;KTbE7&q=+o7a:@7qeZE/5M&G/k2DF4GTfDBI<gDGL,!F686-EF@ip6)0NF;>ri8.8vV<+L
#@ dV<+LdV<+LdV<+9nATAAl9KDFe9:+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV
#@ <+82_`FS@(d06dV<+LdV<+LdV<+>N<:+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+DP)*F
#@ p/_^EZ.|c3iV0`F+JNBD5)ZKA-!o^F9%,!Fh=ap@e<NaE6AccE!jmlB=FME+i9XU68qoG;cD
#@ 6t+6dV<+LdV<+LdV<+8JfCFB4uD+FvV<+LdV<+>wO@wLdV<+>#V<++olRAo3uSA(fTfDdNAC
#@ F)kKV@9HK(Fl'9q@yR>SA_4BRA;KTbE%<XeD__W:@HVeK/gLoRAapb8AP?tIBc=oRAnH8:+O
#@ *S9,RF=SA-.fZEd7a:@wy;:+G8-wE1>fC+VVmX?`=Bq@n-DTArW&(F?pJdEd7a:@BgRF+<#V
#@ <+LdV<+5kFhCkdggCG:wLDCqm#H,B>cE!v-<@hF|;@sx;:+LdV<+@NncBGYU^,M;wLDCqm#H
#@ ,B>cE!v-<@hF|;@<gRF+:l:s+fEi'FrZ!iCy_xQH6dV<+LdV<+LdV<+1=,D+%vDTA,rP-E>'
#@ @Q/=O:,15(fM/98c;5N>_6A^_'RHupbSA.Jx:IB`xQH6dV<+LdV<+LdV<+LdV<+FOMEFu9oK
#@ 8-Q5cE#dmlBYCF?+l/=JD?(#<6Z+:eDS_'RHupbSA.Jx:I0W7hCk9MTAF@ip6)wx.:W;6t+6
#@ dV<+LdV<+LdV<+LdV<+8JfCFB4uD+GvV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV
#@ <+G8-wEIXME+mSOcE|OF?+e;o(Fp`FU6t#IID>OVE+)7bWF)&oC+=upT,6X7hCk9MTAAoATA
#@ rW&(Fg'XR6pkg47g1UG;A;9:+LdV<+LdV<+LdV<+IJcZE8JlcE?T<8wLdV<+LdV<+LdV<+>#
#@ V<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+G8-wEIXME+mSOcE|OF?+e;o(Fp`FU6>6ME+>OVE
#@ +c.XU@v&oC+4CDE+dDelBb8gQ6-pIlB0%DE+i9XU68qoG;cD6t+6dV<+LdV<+LdV<+8JfCFB
#@ 4uD+FvV<+LdV<+>wO@wLdV<+>#V<++olRAo3uSA(fTfDdNACF)kKV@9HK(Fl'9q@yR>SAlTN
#@ aEd7a:@GVeK/gLoRAapb8AP?tIBc=oRAnH8:+O*S9,_Q;JDvWSkBt#K(FD=&`Fs|/bE9mtD+
#@ !?L?wLdV<+,CHr@l'9q@dw)5Bk|e^F-Q(`Fs|/bE?G=JD?O0<I<H<:+LdV<+O*S9,qy4cE'J
#@ bD++6viC7q1*F>eTfDuLoRA&;?CF.0?SAwsY8A.w=JD5#V<+LdV<+:tJTA8Jc^Fbm@CFhTI9
#@ >0a%lBU_'RH&6f^FT;;s+:i+=wLdV<+LdV<+91VE+7xME+:;9:+LdV<+JS)!F.DVHBgCBV@L
#@ r:s+8vV<+LdV<+1A`nA/62gCmxoRAY_'RHdGkl9m-cOBLCdq>P*H9>t*Z8AC>qT,=r1*FR-u
#@ r@Xm(d0B`xQH6dV<+LdV<+LdV<+9MfCF7q1*FruwlB'E(yGC@BX@cf18@4,!;I/A,=wLdV<+
#@ LdV<+LdV<+91VE+mw2PB7xME+ko<JD.I%F+Cyf^Fbm@CF5pRF+.C;:+LdV<+TX93I1D5)F,n
#@ <@wLdV<+LdV<+LdV<+91VE+7xME+ko<JD.I%F+Cyf^Fbm@CF5pRF+.C;:+LdV<+LdV<+JS)!
#@ F.DVHBgCBV@0!J9>t*Z8AC>qT,=r1*FR-ur@Xm(d0svV<+LdV<+>wO@wLdV<+LdV<+82_`F(
#@ gI9>4/;EFC@BX@R<SF+=iVkBs#f^Fw(/=wLdV<+>#V<++olRAo3uSA(fTfDdNACF)kKV@9HK
#@ (Fl'9q@dw)5Bk|e^F-Q(`Fs|/bE@([email protected]+/^,8AcEs>w6dV<+rTdQ6@?un7u<PW6
#@ >wry=I)Fa;wP&u5(V4w<jh;U6ZPFJ:@Qu87ZrYA+s+@P7oHZI:V5a'<6dV<+>eTfDtJAkB(4
#@ 2s@;He6B+ct)Fh<..D;L3LD(8x:I<H<:+LdV<+JS)!F*u>0ApZ@6B:Zg6wLdV<+LdV<+gmx4
#@ A(6nlB5yqcB0k2DF=VB3@7q1*F6dV<+LdV<+1=,D+%=<JD'oYKA@/;EF)Cy|3>KB!.K4IU?B
#@ ,0C<l9e9I<H<:+LdV<+LdV<+@NncBGYU^,ijkIDGAip6MK:77)Bx7.1k_?+(1QF+<#V<+LdV
#@ <+LdV<+LdV<+:tJTA*plRA+h5D+;E^;@&KQ@wLdV<+LdV<+LdV<+>#V<+LdV<+>wO@wLdV<+
#@ LdV<+91VE+dGkl9m-cOB:Zg6wLdV<+LdV<+91VE+TH4h:2fbDF:Zg6wLdV<+LdV<+91VE+.0
#@ B,E4H4h:2fbDF:Zg6wLdV<+LdV<+91VE+mxPSARi18@,8>D+:;9:+LdV<+@NncB)K.C>pR5S
#@ AP9xQH|G(x>jmJ!?l<^3IOW3LD(8x:I7q1*FR-ur@/ORF+<#V<+LdV<+LdV<+O!r<+C<wr6u
#@ ;wn7Vu*F;,.Qc;!DT(<6dV<+LdV<+LdV<++*Z4B7J|C+'Si?>i>sU>L<(V,^_'RHnjkSAX.J
#@ s+)<..D&#V<+LdV<+LdV<+:tJTA:&TTA|MJFDYg?lB*wJ9>0a%lBU_'RHnjkSAeNV-0uUW6w
#@ LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+(c:*:(g.C<*IZI:hWI:wLdV<+LdV<+LdV<+91
#@ VE+.0B,E4H4h:2fbDF+5#-E6=VE+;E^;@<gRF+Gp:p>6dV<+LdV<+>N<:+LdV<+O*S9,Nv&;
#@ @'2#D+r9.lB%E#j@0shTA2<XeD0fAoA+*Z4B-!WTAFXG<F!U67AvrKaEo2B3@(rpcB0<0bE8
#@ Jc^FC=&`Fs|/bE9mtD+.5#D+t!MkB6?0bE)<..D&#V<+LdV<+:tJTA>k2DFICbH06dV<+LdV
#@ <+9MfCFwsY8Ac#=JDO.Js+6dV<+LdV<+1=,D+&=!iC&K#DFI_'RH.0B,E4H4h:2fbDFZ-4s4
#@ c#P6-/#/gCmxoRAY_'RH.0B,E4H4h:2fbDF*:pQAGFDE+e0cSA)mRQBU8,FFT*!<7^tsbD@@
#@ 9<IA^v>+-8/C+:l:s+2GPF+<#V<+LdV<+LdV<+O!r<+Q?DTA>6ME+r/B3@*aB9G'/ufA.OZ8
#@ A7wVE+.5#D+0X`7/4bt)F1s<JD*CQC+4ntD+njkSA88'(F3Sk%G);qcB7APQB!KAkBFxVE+m
#@ 1sp@&#V<+LdV<+LdV<+O!r<+Y|DTAn7>D+wwyhC&`|N@qIYD+.5#D+njkSAFtJTAq<Z8AE?)
#@ E+,l:EF#gGSAu!cr@7yqcB42w(F2RlSA%2w(F+*Z4B-!WTA.3x;@@)q=+u@oC+ifA(F#-DTA
#@ #ZFIDq2rjB5#V<+LdV<+LdV<+7+:eDhqrp@&WSkB=,qT,9gR.DThX8Ac#=JDY`xQH6dV<+Ld
#@ V<+LdV<+LdV<+1=,D+P+VmB@n&<INwH9>78?cEu;!iC&K#DFI_'RH.0B,E4H4h:2fbDFI>eK
#@ /NTe9I<H<:+LdV<+LdV<+LdV<+LdV<+JS)!F+)ZKA^tsbD8LVE+Hx>UA^tsbD@@9<I=iVkBP
#@ k@708vV<+LdV<+LdV<+LdV<+T@PF+!xMTA7pZQHI(6DFA9SF+l'H9>78?cEu;!iC&K#DFI_'
#@ RH.0B,E4H4h:2fbDFI>eK/NTe9I<H<:+LdV<+LdV<+LdV<+LdV<+JS)!F+)ZKA^tsbD8LVE+
#@ Hx>UA^tsbD@@9<I=iVkB+sZx?/yLy,=r1*FR-ur@X@nt+6dV<+LdV<+LdV<+LdV<+DCZKA1V
#@ #D+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTA4rjIDYg?lBFu:s+?/nIDYg?lBPcj^,)hGSA=nj6
#@ wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+94:JD+GqcBv^B?wLdV<+LdV<+LdV<+>
#@ #V<+LdV<+LdV<+O!r<+@=Bq@'B`nA!*7hC:(3cE4&=JD32=mBSo>9/1=,D+>OVE+&wWTA>RV
#@ E+6#ufA4>ufAAL,!F6g)s@31<:+LdV<+LdV<+JS)!F5.j|DaCBq@cm@CFhTI9>Uy!Y?r.ap@
#@ w1cSA'<XeDrKQ3Bu|m*E7FME+IDvmB?2qT,*tY8Ac#=JD;D0C+h)fCF`*7hCN+fK/,:HSAU_
#@ 'RHTH4h:2fbDFcq-=wLdV<+LdV<+LdV<+7pZQH)G.>Hf44Y?vwviCrimlBCupT,.+;1CVlsl
#@ 9m-cOBYwnW4,du4I<H<:+LdV<+LdV<+LdV<+O*S9,f1+D+-|#j@*kbD+2b/wE)lYKA@/;EFG
#@ XrfA(AoC+t!MkB6?0bE)<..Doo24B10f8/<GFiC3MqcBUE;g/@@PQB!3x;@u,B3@1neZE2P^
#@ aEu-V5B.APQB#rKaEma;:+LdV<+LdV<+LdV<+O*S9,qY7.:7<..D.APQB4IG<FLjG<F/u'eD
#@ qY7.:j*Z4B7<..D!ZFID(oATA?SPcEhm5SA.0?SA#gGSAu!cr@!'K(F6dV<+LdV<+LdV<+Ld
#@ V<+9MfCF3fR.DThX8Ac#=JD/cB'F1Q5DFFx>UA^tsbD@@9<I_,kt+svV<+LdV<+LdV<+LdV<
#@ +:tJTA.'I;@v%xyFs|/bE%)(yG*sI9>#XmID0k>D+E8WTA|MJFDYg?lB4gw%?k.A*1svV<+L
#@ dV<+LdV<+LdV<+:tJTAHR8EF6HTCFc/B,EruwlB'E(yGU8,FFT*!<7^tsbD@@9<IMERjCcP:
#@ 3:!M|RA#(/=wLdV<+LdV<+LdV<+LdV<+91VE+FSM`F|b3QA%mI9>0a%lBU_'RHFSM`F|b3QA
#@ G3SF+Fm:p>6dV<+LdV<+LdV<+LdV<+9MfCFwsY8Ac#=JDaa%(FWyFSAM.Js+6dV<+LdV<+Ld
#@ V<+LdV<+1=,D+&=!iC&K#DFI_'RHFSM`F|b3QA/xw%?1k_?+l_xQH6dV<+LdV<+LdV<+LdV<
#@ +LdV<+9MfCFwsY8Ac#=JDO.Js+6dV<+LdV<+LdV<+LdV<+LdV<+9MfCFF@ccEmV2-<yrOl9m
#@ -cOBE1Y9>t*Z8AC>qT,LAccEmV2-<7%j;I.?5X?9ayn/ko<JD.I%F+Sd;EF6HTCFc/B,EMIm
#@ q>Cyf^Fbm@CF7-4(,6dV<+LdV<+LdV<+LdV<+LdV<+9MfCFP'bH06dV<+LdV<+LdV<+LdV<+
#@ LdV<+5|VkB9mZQHRw+;I0h_?+99L;@v%xyFs|/bEb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+1=,D+P+VmB9psZ4MERjCcP:3:!M|RAYZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +:tJTA4rjIDYg?lByc(8@e>3hB=,qT,*tY8Ac#=JDn!P?+!*-NB?j(sFja0;@u@#D+,#cDF#
#@ |G)F.0?SA4>siC*WSkBv!aaE1X.F+njkSANx1mB8r1`F=APQBoicRA3bNBD_'v>wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+TH4h:2fbDFXd'RHTH4h:2fbDFy0f*F6g)[email protected]
#@ _m@CFFD,5I8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+JS)!F+)ZKA^tsbD8LVE+Hx>UA^tsbD@@9<Iko<JD.I%F+E8WTA|MJFDYg?l
#@ BL?SF+Rw+;I/-h6wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+94:JD+GqcB6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV
#@ <+LdV<+LdV<+uo`N7(AoC+=8u)FigbSA!*7hC(3x;@2+ME+h9MTA.YpJD2,BCF1<9KD4#V<+
#@ LdV<+LdV<+LdV<+:tJTA2B*W@slSCFR-ur@fjX9@hQ/CFm-!eDmIBq@26sJDi35SAwFIlBP+
#@ fK/,:HSAU_'RHTH4h:2fbDFv4I9>lj|Z?mZ!iCS;i@E/0fCFFx>UA^tsbD@@9<IxC;:+LdV<
#@ +LdV<+LdV<+@NncB)K.C>3'8UAdh`p@w1cSA'<XeDO_'RHmxPSARi18@4,!;InosZ4dpPF+<
#@ #V<+LdV<+LdV<+LdV<+LdV<+O!r<+d',!F-g!iC.0?SA-upcB=y-fD!*7hC:(3cE4&=JDVT/
#@ *F!KAkBFxVE+/f1EF7O,D+FSM`F%ZB3@3+G<Fq5|N@>xG<F*-R;@,8>D+4CDE+kicRAwq;:+
#@ LdV<+LdV<+LdV<+LdV<+O*S9,qY7.:4Jfq>:,R;@u!VkB64G<F*_/wE&wWTA3utfABUG<F!c
#@ :-Bv-0bE'Y#D+u@oC+.5#D+,=VE+m?mIDw(DkBjX;:+LdV<+LdV<+LdV<+LdV<+JS)!F5.j|
#@ DaCBq@cm@CFhTI9>lj|Z?mZ!iCS;i@E/0fCFXd'RHTH4h:2fbDFak:EFUr/bE%v%lB'E(yGT
#@ /f*F6g)[email protected]_dbH0H((RHdGkl9m-cOB(j+=wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQH)G.
#@ >Hf44Y?vwviCrimlBCupT,.+;1CVlsl9m-cOB='tW+-j)5I<H<:+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+O*S9,f1+D++p/bE-YQbE;e5cE.APQBF@(d0wsY8A.w=JD6,:eD@X`7/7AK(FBFVE+7v
#@ OBDBIfZEhO0;@,Xi7/ufY8A3lmID&`|N@qIYD+.)uDF:#V<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +:tJTA2B*W@[email protected]+6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+T
#@ X93I6dV<+LdV<+LdV<+>N<:+LdV<+TX93I1D5)F!>,D+&=!iC&K#DFI_'RH.0B,E4H4h:2fb
#@ DFZ-4s4esPF+<#V<+LdV<+LdV<+O!r<+MZ!iC3Sk%G);qcB&+-8A#WSkBv!aaE1X.F+/vOBD
#@ v8jA+8tLE+0lTKD(5:JD.0?SA4AK,ED%OBD_'v>wLdV<+LdV<+LdV<+oikaDhqrp@,Jc^Fw*
#@ B(Fhgrp@4APQB!3x;@6IC9G2k>D+j*Z4B6dV<+LdV<+LdV<+4P5cE^IbOB#gGSAS_'RH.0B,
#@ E4H4h:2fbDFDn<@wLdV<+LdV<+LdV<+LdV<+7pZQHI(6DFA9SF+>9pQAGFDE+e0cSA)mRQBU
#@ 8,FFT*!<7^tsbD@@9<IA^v>+MNRF+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTA4rjIDYg?lBFu:
#@ s+?/nIDYg?lBPcj^,)hGSAb@nt+6dV<+LdV<+LdV<+LdV<+DCZKA%@;kBGYU^,5XVTAn/oW4
#@ ?9pQAGFDE+e0cSA)mRQBU8,FFT*!<7^tsbD@@9<IA^v>+MNRF+<#V<+LdV<+LdV<+LdV<+Ld
#@ V<+:tJTA4rjIDYg?lBFu:s+?/nIDYg?lBPcj^,)hGSA86OaE86*RHdGkl9m-cOB@<9:+LdV<
#@ +LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+91VE+TH4h:2fbDFXd'RHT
#@ H4h:2fbDFQv;RB5n&<I8vV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+w!saE/WV
#@ TA3#V<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+91VE+mxPSARi18@,8>D+Q+T7Anw1Z?vwviC
#@ rimlB%gI9>lj|Z?2|G)FUuA`F/1VE+?/nIDYg?lB4gw%?i35SAh(fRA8@ME+IDvmB?2qT,*t
#@ Y8Ac#=JD#lV!?6dV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+uD+=T-W@slSCFR-ur
#@ @/D0C+AM`H0Dn<@wLdV<+LdV<+LdV<+LdV<+i*=kA?-)E+mZ!iCCBDE+4ntD+8tLE+0lTKDE
#@ #*!Fuo`aE'Y#D+.5#D+njkSA=E.F+^Ei<+B:fZEvTPcEhm5SA.0?SA#-DTA(#V<+LdV<+LdV
#@ <+LdV<+:tJTA.'I;@v%xyFs|/bE%)(yG*sI9>#XmID0k>D+E8WTA|MJFDYg?lB4gw%?j%&d0
#@ svV<+LdV<+LdV<+LdV<+:tJTAHR8EF6HTCFc/B,EruwlB'E(yGU8,FFT*!<7^tsbD@@9<IME
#@ RjCcP:3:!M|RA#(/=wLdV<+LdV<+LdV<+LdV<+91VE+FSM`F|b3QA%mI9>0a%lBU_'RHFSM`
#@ F|b3QAG3SF+Fm:p>6dV<+LdV<+LdV<+LdV<+9MfCFwsY8Ac#=JDaa%(FWyFSAM.Js+6dV<+L
#@ dV<+LdV<+LdV<+1=,D+&=!iC&K#DFI_'RHFSM`F|b3QA/xw%?1k_?+l_xQH6dV<+LdV<+LdV
#@ <+LdV<+LdV<+9MfCFwsY8Ac#=JDO.Js+6dV<+LdV<+LdV<+LdV<+LdV<+9MfCFF@ccEmV2-<
#@ yrOl9m-cOBE1Y9>t*Z8AC>qT,LAccEmV2-<7%j;I.?5X?9ayn/ko<JD.I%F+Sd;EF6HTCFc/
#@ B,EMImq>Cyf^Fbm@CF7-4(,6dV<+LdV<+LdV<+LdV<+LdV<+9MfCFP'bH06dV<+LdV<+LdV<
#@ +LdV<+LdV<+5|VkB9mZQHRw+;I0h_?+99L;@v%xyFs|/bEb`xQH6dV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+1=,D+P+VmB9psZ4MERjCcP:3:!M|RAYZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+:tJTA4rjIDYg?lByc(8@e>3hB=,qT,*tY8Ac#=JD=O<:+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+JS)!F+)ZKA^tsbD8LVE+Hx>UA^tsbD@@9<ISd;EF6HTCFc/B,ER-ur@Z12(,6d
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +:tJTA4rjIDYg?lBFu:s+?/nIDYg?lB2UCC>t*Z8AC>qT,9gR.DThX8Ac#=JDWMqT,6Fw%?G
#@ ;9:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+'!?r@'WC
#@ >wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+
#@ i3sgB/y/wE6?elBu@#D+mZ!iC)<..D'`|N@jg|7A?*uD+1rCEF0#H)F6dV<+LdV<+LdV<+Ld
#@ V<+9MfCF(*;1CVlsl9m-cOBbx87As>ffDjf<iCulSCF3+uD+h)fCFs&=JDS;i@E/0fCFFx>U
#@ A^tsbD@@9<IaKQ3Bh=ap@(Z5)FUuA`F/1VE+?/nIDYg?lB4gw%?svV<+LdV<+LdV<+LdV<+1
#@ A`nA6uE'F/64eDd-!eDmIBq@26sJDP`NgDaCBq@cm@CFrBw%?1k_?+j_xQH6dV<+LdV<+LdV
#@ <+LdV<+LdV<+#6|K80y-fD!*7hC(ZFID*2GlB3iW<@5,:eD4AoC+r!ZSA*a';@FF<0A2CQC+
#@ 1rCEF24uD+#O`aE/RC9G2k>D+njkSAufY8A,25DF6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF(*
#@ ;1CVlsl9m-cOBi35SAh(fRA8@ME+IDvmB=u:s+?/nIDYg?lByc(8@e>3hB'OCC>t*Z8AC>qT
#@ ,LAccEmV2-<7%j;IN_=U.Cyf^Fbm@CF7-4(,svV<+LdV<+LdV<+LdV<+LdV<+1A`nA6uE'F/
#@ 64eDd-!eDmIBq@26sJDP`NgDaCBq@cm@CFrBw%?jk^?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+#6|K8/VwfD8.G<FwVtD+/G#D+tiE+E2fbDF88'(F7k1`F@&q=+.5#D+7,V`F6MqcB
#@ =y-fD8oATAetWU@rx;:+LdV<+LdV<+LdV<+LdV<+LdV<+JS)!F5.j|DaCBq@cm@CF/Q:s+8v
#@ V<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+>wO@wLdV<
#@ +LdV<+;`4hCtgbnA/62gCs9V5BBcpT,9gR.DThX8Ac#=JD;D0C+BV&d0Dn<@wLdV<+LdV<+L
#@ dV<+bR@OA,#;-B*!nIDKx-fD#gGSAu!cr@!'K(F9mtD+.5#D+njkSA6dV<+LdV<+LdV<+9Mf
#@ CFwsY8Ac#=JDQ@+U,/=..D+6OaE86*RHdGkl9m-cOB@<9:+LdV<+LdV<+JS)!F9R,!F6g)s@
#@ gxQ.D+cB'F1Q5DFU8,FFT*!<7^tsbD@@9<I^&bt+svV<+LdV<+LdV<+1A`nA6uE'F/64eDd-
#@ !eDmIBq@26sJDi35SAh(fRA8@ME+IDvmB?2qT,*tY8Ac#=JD#lV!?1k_?+j_xQH6dV<+LdV<
#@ +LdV<+LdV<+9MfCF3fR.DThX8Ac#=JD/cB'F1Q5DFU8,FFT*!<7^tsbD@@9<I^&bt+svV<+L
#@ dV<+LdV<+LdV<+1A`nA/62gCs9V5BBcpT,9gR.DThX8Ac#=JD;D0C+C_A*1Dn<@wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+91VE+TH4h:2fbDF+)/gCmxoRAY_'RH.0B,E4H4h:2fbDFLCdq>j*Z4B
#@ +!%lB'E(yGU8,FFT*!<7^tsbD@@9<II,Ex,=r1*FR-ur@3nj6wLdV<+LdV<+LdV<+LdV<+>#
#@ V<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+i3sgB/y/wE6?elBu@#D+mZ!iC)<..D'`|N@jg|7
#@ A?*uD+1rCEF!6L?wLdV<+LdV<+LdV<+91VE+mxPSARi18@,8>D+Q+T7Anw1Z?vwviCrimlB%
#@ gI9>lj|Z?2|G)FUuA`F/1VE+?/nIDYg?lB4gw%?i35SAh(fRA8@ME+IDvmB?2qT,*tY8Ac#=
#@ JD#lV!?6dV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+uD+=T-W@slSCFR-ur@/D0C+
#@ AM`H0Dn<@wLdV<+LdV<+LdV<+LdV<++/=`EI+dfD.5|,E:Q=mBt?VTA.0?SA)<..D<sncB/A
#@ PQB)S#D+8+j|[email protected]+/G#D+r-ur@J,E<F*%VE+=XG<F)Vi|D9xwpG?(OBDZ^m=wLdV<+LdV<
#@ +LdV<+LdV<+91VE+mxPSARi18@,8>D+h)fCF`*7hCN+fK/,:HSA32Y9>t*Z8AC>qT,LAccEm
#@ V2-<7%j;IN_=U.Cyf^Fbm@CF7-4(,svV<+LdV<+LdV<+LdV<+1A`nA6uE'F/64eDd-!eDmIB
#@ q@26sJDP`NgDaCBq@cm@CFrBw%?jk^?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF(*;1C
#@ Vlsl9m-cOB:Zg6wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+>wO@wLdV<+LdV<+;`4hC@m
#@ ZQH6dV<+LdV<+LdV<+9MfCF(*;1CVlsl9m-cOB:Zg6wLdV<+LdV<+>#V<+LdV<+1A`nA,p5j
#@ B0k(E+1lo(FcKrZ3PkC&Gi9XU6L:F:6D9&q>Dn<@wLdV<+LdV<+LdV<+7pZQHtjDNAq+xR6L
#@ ;is6El_o7:osZ4(o`5I<H<:+LdV<+LdV<+LdV<+<*WKA'q(E+GR#:ApZ@6Bn)/M/t^'RHTH4
#@ h:2fbDFt%2s4G`H7Ax@5SAsp,<@a.oRA9<|n/CRVE+6dV<+LdV<+LdV<+>N<:+LdV<+TX93I
#@ 6dV<+LdV<+1=,D+VaNgDaCBq@cm@CF5pRF+:l:s+y_xQH6dV<+LdV<+LdV<+8JfCFB4uD+:;
#@ 9:+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+qWsPAAl9KD1yLAG:gME+kicRAwq;:+LdV<
#@ +LdV<+JS)!F5.j|DaCBq@Q;J;@.!J9>lj|Z?3DccE,&MEFE;i@E/0fCFP`NgDaCBq@cm@CF5
#@ pRF+mxPSAf=ap@+ct)FsvV<+LdV<+LdV<+1A`nAyq*RHmxPSAS;WdC<I0<I8s:E+6&=JDy_x
#@ QH6dV<+LdV<+LdV<+LdV<+9MfCF(*;1CVlsl9m-cOBi35SAh(fRA8@ME+IDvmBH.fK/p*RdD
#@ aCBq@M/qT,.+;1CVlsl9m-cOB&D;:+LdV<+LdV<+LdV<+IJcZE8JlcEvw/gC=xVE+=T-W@sl
#@ SCFR-ur@I;;s+mZ!iCuB;:+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+82_`
#@ F(gI9>4/;EFP`NgDaCBq@cm@CF5pRF+=T-W@slSCFPl&(Fw(/=wLdV<+LdV<+LdV<+>#V<+L
#@ dV<+>wO@wLdV<+LdV<+6dV<+>N<:+;!<0Ao|<JDsA>cE_M*:@;<ylB('WTA/KAkB(42s@;He
#@ 6B+ct)Fh<..D<?tIB^b*Y?&i:vH@(TkBh?mIDu!V<+O!r<+C?Uu5jsS@+Ti%Q86w-87)ZLu5
#@ CWun76dV<+>eTfDr>/kBogpgCvZbcEk%#D+``xQH6dV<+LdV<+6,aaEegpgCH<rJ:bDy.:9%
#@ ;:+LdV<+Mn%sFja0;@u@#D+LU=U6xvV<+LdV<+9bN<@ktWU@fXo|;_oQ87=L'q6u!V<+LdV<
#@ +R<n9,XEn9,(>kcE0fAoA9F`cEhT<JDD=n9,XEn9,6dV<+LdV<+9MfCF8LQD<RE?87dk|BFh
#@ .fRA*<;oA9v&yG))MEF3+uD+91VE+svV<+LdV<+7+:eDhqrp@<TAE+<LQD<RE?87om<@wLdV
#@ <+LdV<+LdV<+vDsp@)oATA/!iTA/c%UA-KnlB=@,!Ff++:@&IRdD4<XeD)!J9>t*Z8AC>qT,
#@ ACdq>ruwlB'E(yGM_AE+/C;:+LdV<+TX93Iu!V<+LdV<+:tJTAyc+H;`XS@+:;9:+LdV<+JS
#@ )!Fn0p|;_oQ87=L'q6:Zg6wLdV<+LdV<+h-ur@E5>F+wm<eD,oW/DmgY8AFF`cEb*7hCln^|
#@ 32'aaESAPF+vDsp@k5bcE6dV<+LdV<+1=,D+6cB'F5-=JD*8gFE/JbD+8H-<@yalAFM.Js+n
#@ osZ4d3:3I<H<:+LdV<+LdV<+@NncBC5>F+.H2?Fh.fRAIANb3=H<JD#/w-EwAoC+Dn<@wLdV
#@ <+LdV<+LdV<+LdV<+91VE+m@Cf:>m977.`7d08vV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+
#@ LdV<+LdV<+@NncBC5>F+,AccE+S5D+wRH<@ah>x3NH-<@pureDy5LT?UX?V7'A#D+8=(p>1k
#@ _?+d@PF+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTAyc+H;`XS@+K2h6wLdV<+LdV<+LdV<+LdV<
#@ +LdV<+vDsp@tT_5B)TT`F.dmID%#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+>#V<+L
#@ dV<+>wO@wLdV<+>#V<++olRAo3uSA(fTfDdNACF)kKV@9HK(F-/o(FagbSAsH-<@7VeK/gLo
#@ RAapb8AP?tIBc=oRAnH8:+O*S9,,Sja;)vT@+Ti%Q86w-87)ZLu5CWun76dV<+>eTfD'oATA
#@ 2RlSAsH-<@0mZQHDn<@wLdV<+LdV<+*XxlBagbSA8LQD<RE?87ivV<+LdV<+9bN<@ktWU@ga
#@ 5w<=@0776dV<+LdV<+6,aaEegpgCO#tD<Xu7.:N<I:w6dV<+LdV<+UEn9,U*r<+l+V`F3.G<
#@ FlR(kB:WU9,XEn9,9vV<+LdV<+1A`nA88J9>:<ylB'WYKA+IhgCan+H;`XS@+I!;p>XE8=+,
#@ AccE+S5D+wRH<@%K<^;_oQ87=L'q68I:p>Z_xQH6dV<+LdV<+LdV<+4H-<@pureDo6Q|E2o9
#@ 0D&#V<+LdV<+LdV<+:tJTAyc+H;`XS@+:;9:+LdV<+TX93I6dV<+LdV<+4P5cE^IbOBM+qT,
#@ l^l)<QGja;<H<:+LdV<+LdV<+KyD<Fh.fRAk*T7A/c%UA-KnlB=@,!F!wJ9>t*Z8AC>qT,AC
#@ dq>ruwlB'E(yGM_AE+/C;:+LdV<+TX93I6dV<+>N<:+;!<0Ao|<JDsA>cE_M*:@;<ylB('WT
#@ A7oATA2RlSAsH-<@7VeK/gLoRAapb8AP?tIBc=oRAnH8:+O*S9,v;0(<3vtJ:RYs'<v)AQ81
#@ M::+G8-wE1>fC+lj|Z?-Lc8A#e|Z?wwyhCI)!=I<H<:+LdV<+><8-Bk.F:@E*k#H8&Z)F3xm
#@ *E2<BbEh67ID_'v>wLdV<+LdV<+91VE+-Lc8A#e|Z?wwyhC?.Js+6dV<+LdV<+1=,D+Z0f*F
#@ >WT,E6LUZ?0g_5Bl4sY?njkSA@8gFE-oHq@l-?SADKN3I<H<:+LdV<+LdV<+JS)!F670wE2E
#@ #_Fe4FU@&tG8Ai35SAq**+E&*T,E26sJDoKhgCIVeK/njkSA--?SA6SMk@0?'fDKX<cE#=)s
#@ @v^e^Fet!Y?k?VTAsvV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+><8-Bk.F:@;.<cE#=)s@v
#@ ^e^Fet!Y?k?VTA6dV<+LdV<+LdV<+9MfCF>eTfD(HTCFll!mB6WpT,-Lc8A#e|Z?wwyhCU_W
#@ :@%y;:+LdV<+TX93I6dV<+LdV<+9MfCF-l!mBBX47@KqaH06dV<+LdV<+1=,D+%vDTA,rP-E
#@ hkk7@QMqT,DfTfD(HTCFll!mBv7w%?Dn<@wLdV<+LdV<+LdV<+pR5SAP9xQHEW&!.6qy4.^_
#@ 'RH-Lc8A#e|Z?wwyhC;74'Dm-cOB,^a:@3#V<+LdV<+LdV<+9nATA,rP-EAqXU>x)E1/^_'R
#@ H-Lc8A#e|Z?wwyhC;74'Dm-cOB+5t!H6dV<+LdV<+LdV<+9MfCF-l!mBBX47@=H:s+JV/UAM
#@ txR0VR#:AF`4(,6dV<+LdV<+LdV<+8JfCFB4uD+Cyf^FJ+Ct6/Mx:I6dV<+LdV<+DCZKA%@;
#@ kB)K.C>pR5SAP9xQHWb?:IQii-E2E#_Fe4FU@&tG8ADORF+<#V<+LdV<+LdV<+9nATA,rP-E
#@ E4(V>(O^6AhxPF+N@?cE#=)s@v^e^F./R:I/#BCF1dwpGdQB?wLdV<+LdV<+LdV<+pR5SAP9
#@ xQH5mDq.idPF+N@?cE#=)s@v^e^F./R:I/#BCFqP>0A&C<:+LdV<+LdV<+JS)!F(c|N@&tG8
#@ AK?VTAXd'RH)O`aE%'hV,16t!H@<9:+LdV<+LdV<+IJcZE8JlcEO_'[email protected]<:+L
#@ dV<+TX93I1D5)F!>,D+%vDTA,rP-Ek(28@UMqT,DfTfD(HTCFll!mBv7w%?Dn<@wLdV<+LdV
#@ <+LdV<+pR5SAP9xQHEW&!.6qy4.^_'RH-Lc8A#e|Z?wwyhC;74'Dm-cOB,^a:@3#V<+LdV<+
#@ LdV<+9nATA,rP-EAqXU>x)E1/^_'RH-Lc8A#e|Z?wwyhC;74'Dm-cOB+5t!H6dV<+LdV<+Ld
#@ V<+9MfCF-l!mBBX47@=H:s+JV/UAMtxR0XR#:AF`4(,6dV<+LdV<+LdV<+8JfCFB4uD+Cyf^
#@ FJ+Ct6/Mx:I6dV<+LdV<+DCZKA%@;kB)K.C>pR5SAP9xQHm9'<IQii-E2E#_Fe4FU@&tG8AD
#@ ORF+<#V<+LdV<+LdV<+9nATA,rP-EE4(V>(O^6AhxPF+N@?cE#=)s@v^e^F./R:I/#BCF1dw
#@ pGdQB?wLdV<+LdV<+LdV<+pR5SAP9xQH5mDq.idPF+N@?cE#=)s@v^e^F./R:I/#BCFqP>0A
#@ &C<:+LdV<+LdV<+JS)!F(c|N@&tG8AK?VTAXd'RH)O`aE'3%W,16t!H@<9:+LdV<+LdV<+IJ
#@ cZE8JlcEO_'[email protected]<:+LdV<+TX93I1D5)F!>,D+%vDTA,rP-Ek(28@yMqT,DfTf
#@ D(HTCFll!mBv7w%?Dn<@wLdV<+LdV<+LdV<+pR5SAP9xQHEW&!.6qy4.^_'RH-Lc8A#e|Z?w
#@ wyhC;74'Dm-cOB,^a:@3#V<+LdV<+LdV<+9nATA,rP-EAqXU>x)E1/^_'RH-Lc8A#e|Z?wwy
#@ hC;74'Dm-cOB+5t!H6dV<+LdV<+LdV<+9MfCF-l!mBBX47@=H:s+JV/UAMtxR0ZR#:AF`4(,
#@ 6dV<+LdV<+LdV<+8JfCFB4uD+Cyf^FJ+Ct6/Mx:I6dV<+LdV<+DCZKA%@;kB)K.C>pR5SAP9
#@ xQHv1X;IQii-E2E#_Fe4FU@&tG8ADORF+<#V<+LdV<+LdV<+9nATA,rP-EE4(V>(O^6AhxPF
#@ +N@?cE#=)s@v^e^F./R:I/#BCF1dwpGdQB?wLdV<+LdV<+LdV<+pR5SAP9xQH5mDq.idPF+N
#@ @?cE#=)s@v^e^F./R:I/#BCFqP>0A&C<:+LdV<+LdV<+JS)!F(c|N@&tG8AK?VTAXd'RH)O`
#@ aE)?7W,16t!H@<9:+LdV<+LdV<+IJcZE8JlcEO_'[email protected]<:+LdV<+TX93I1D5)F
#@ !>,D+%vDTA,rP-E'!7yFOMqT,DfTfD(HTCFll!mBv7w%?Dn<@wLdV<+LdV<+LdV<+pR5SAP9
#@ xQHEW&!.6qy4.^_'RH-Lc8A#e|Z?wwyhC;74'Dm-cOB,^a:@3#V<+LdV<+LdV<+9nATA,rP-
#@ EAqXU>x)E1/^_'RH-Lc8A#e|Z?wwyhC;74'Dm-cOB+5t!H6dV<+LdV<+LdV<+9MfCF-l!mBB
#@ X47@=H:s+JV/UAMtxR0yR#:AF`4(,6dV<+LdV<+LdV<+8JfCFB4uD+Cyf^FJ+Ct6/Mx:I6dV
#@ <+LdV<+DCZKA%@;kB)K.C>pR5SAP9xQHgxm:IQii-E2E#_Fe4FU@&tG8ADORF+<#V<+LdV<+
#@ LdV<+9nATA,rP-EE4(V>(O^6AhxPF+N@?cE#=)s@v^e^F./R:I/#BCF1dwpGdQB?wLdV<+Ld
#@ V<+LdV<+pR5SAP9xQH5mDq.idPF+N@?cE#=)s@v^e^F./R:I/#BCFqP>0A&C<:+LdV<+LdV<
#@ +JS)!F(c|N@&tG8AK?VTAXd'RH)O`aE+KIW,16t!H@<9:+LdV<+LdV<+IJcZE8JlcEO_'RHw
#@ [email protected]<:+LdV<+TX93I1D5)F!>,D+%vDTA,rP-Eup*QASMqT,DfTfD(HTCFll!mBv7w
#@ %?Dn<@wLdV<+LdV<+LdV<+pR5SAP9xQHEW&!.6qy4.^_'RH-Lc8A#e|Z?wwyhC;74'Dm-cOB
#@ ,^a:@3#V<+LdV<+LdV<+9nATA,rP-EAqXU>x)E1/^_'RH-Lc8A#e|Z?wwyhC;74'Dm-cOB+5
#@ t!H6dV<+LdV<+LdV<+9MfCF-l!mBBX47@=H:s+JV/UAMtxR0^R#:AF`4(,6dV<+LdV<+LdV<
#@ +8JfCFB4uD+Cyf^FJ+Ct6/Mx:I6dV<+LdV<+DCZKA%@;kB)K.C>pR5SAP9xQHps8<IQii-E2
#@ E#_Fe4FU@&tG8ADORF+<#V<+LdV<+LdV<+9nATA,rP-EE4(V>(O^6AhxPF+N@?cE#=)s@v^e
#@ ^F./R:I/#BCF1dwpGdQB?wLdV<+LdV<+LdV<+pR5SAP9xQH5mDq.idPF+N@?cE#=)s@v^e^F
#@ ./R:I/#BCFqP>0A&C<:+LdV<+LdV<+JS)!F(c|N@&tG8AK?VTAXd'RH)O`aEwsUV,16t!H@<
#@ 9:+LdV<+LdV<+IJcZE8JlcEO_'[email protected]<:+LdV<+TX93I1D5)F!>,D+%vDTA,rP-
#@ E%XbbDYMqT,DfTfD(HTCFll!mBv7w%?Dn<@wLdV<+LdV<+LdV<+pR5SAP9xQHEW&!.6qy4.^
#@ _'RH-Lc8A#e|Z?wwyhC;74'Dm-cOB,^a:@3#V<+LdV<+LdV<+9nATA,rP-EAqXU>x)E1/^_'
#@ RH-Lc8A#e|Z?wwyhC;74'Dm-cOB+5t!H6dV<+LdV<+LdV<+9MfCF-l!mBBX47@=H:s+JV/UA
#@ N(vn0VR#:AF`4(,6dV<+LdV<+LdV<+8JfCFB4uD+Cyf^FJ+Ct6/Mx:I6dV<+LdV<+DCZKA%@
#@ ;kB)K.C>pR5SAP9xQHVhH:IQii-E2E#_Fe4FU@&tG8ADORF+<#V<+LdV<+LdV<+9nATA,rP-
#@ EE4(V>(O^6AhxPF+N@?cE#=)s@v^e^F./R:I/#BCF1dwpGdQB?wLdV<+LdV<+LdV<+pR5SAP
#@ 9xQH5mDq.idPF+N@?cE#=)s@v^e^F./R:I/#BCFqP>0A&C<:+LdV<+LdV<+JS)!F(c|N@&tG
#@ 8AK?VTAXd'RH)O`aE&*hV,16t!H@<9:+LdV<+LdV<+IJcZE8JlcEO_'[email protected]<:+
#@ LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+82_`FR7bH06dV<+LdV<+>N<:+TX93I6dV<+qK
#@ >nAh++Y?,CHr@uqCEF*'f^F9+ME+lj|Z?-Lc8A#e|Z?wwyhC;?tIB^b*Y?&i:vH@(TkBh?mI
#@ Du!V<+O!r<+j.(f:_+?c;'Dja;BXT77ivV<+D-<cEv9#j@fEi'F?u>cEv:UZ?0ot)Fo1#D+`
#@ `xQH6dV<+LdV<+E46-E2BK(FqdkSA&k54BlfL*:QJAQ8IcrA3)q,H;7I1,1wBLq6<x1f08vV
#@ <+>wO@wLdV<+epCkBo0m*EpvnX?3DccE,&MEF(i#j@fEi'F?u>cEv:UZ?0ot)Fo1#D+/^,8A
#@ b'mdDL=fK/fCT7A^Z:4wLdV<+|Dr/:j.(f:_+?c;'Dja;BXT77ivV<+D-<cEv9#j@hWJ^F>5
#@ 6-E2BK(FqdkSA&k54BJ)!=I<H<:+LdV<+Le_WF>56-E2BK(FqdkSA&k54BlfL*:QJAQ8IcrA
#@ 3)q,H;7I1,1wBLq6<x1f08vV<+>wO@wLdV<+epCkBo0m*EpvnX?3DccE,&MEF(i#j@hWJ^F>
#@ 56-E2BK(FqdkSA&k54B<?tIB^b*Y?&i:vH@(TkBh?mIDu!V<+O!r<+5OaU6/KyG;MNHW69H/
#@ K:>US@+O4DA=7ESG;*9@:wLdV<+,CHr@rQ>SAjBm*E2E#_F?ExQHDn<@wLdV<+LdV<+q'-W@
#@ -:,!F>WT,E6LUZ?0g_5Bl4sY?njkSA6dV<+LdV<+1=,D+Z0f*F>WT,E6LUZ?0g_5Bl4sY?nj
#@ kSA@8gFE+c6q@l-?SA<Wk<+^JqT,M;wLDCqm#H,B>cE!v-<@hF|;@<gRF+:l:s+hEi'FrZ!i
#@ Cy_xQH6dV<+LdV<+LdV<+1=,D+Z0f*F>WT,E6LUZ?0g_5Bl4sY?njkSA@8gFE+c6q@l-?SAD
#@ KN3I<H<:+LdV<+LdV<+LdV<+O*S9,RF=SA/@G<F*%VE+66=iC>6ME+O4DA=jvV<+LdV<+LdV
#@ <+LdV<+1A`nA6uE'FrZ!iCgpTZ?%#%|G&OIQBg=4#?1k_?+j_xQH6dV<+LdV<+LdV<+LdV<+
#@ LdV<+FOMEFqjW37=u5cE.XPaD!CIID.y-fDIxS`F9o5cE*&oC+(c>0AjNIQB6-T8/.<cSA&V
#@ #D+:V#D+%#%|G&OIQB*kbD+i9XU68qoG;cD6t+6dV<+LdV<+LdV<+LdV<+LdV<+8JfCFB4uD
#@ +FvV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+>#V<+LdV<+LdV<+9nATAAl9KDGh9:+L
#@ dV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+DP)*Fp/_^EZ.|c3T/f*F>WT,E6LUZ?0g_5Bl4s
#@ Y?njkSAFbl(F>%lDFE46-E=;2EF3&3m-noMO/Z(tJ:sog6wLdV<+LdV<+LdV<+82_`FR7bH0
#@ 6dV<+LdV<+>N<:+TX93I6dV<+qK>nAh++Y?,CHr@uqCEF*'f^F9+ME+`=Bq@wB>cE#=)s@K?
#@ tIB^b*Y?&i:vH@(TkBh?mIDu!V<+O!r<+c(uDF9)^aE9C.F+4sRQB7AK(F*tfq@26sJD4#V<
#@ +D-<cEv9#j@XqARABvvwH!BK(Fs%+:@6`t!HZSSF+<#V<+LdV<+9bN<@ktWU@0qeZE6AccEZ
#@ >FiC^^m=wLdV<+LdV<+7pZQHe0cSA)mRQBp/`aE9C.F+njkSA7oATAg'q#6-a%lBPuU!?%P`
#@ H0Dn<@wLdV<+LdV<+LdV<+3aMTA8oATAg'q#6-a%lB!lkj@&MT7wLdV<+LdV<+LdV<+3aMTA
#@ 8oATAg'q#6-a%lB&DIIBc=oRAOyO8A?vV<+LdV<+LdV<+@E8gAtIa:@rYYKAEve#Hp/`aE9C
#@ .F+njkSA7oATAg'q#6-a%lBhM/C+<#V<+LdV<+LdV<+O!r<+Le_WF2NfCF7AK(FdMy'EvtGS
#@ AMv(RH12ccEKCU7wLdV<+LdV<+LdV<+AN<:+LdV<+TX93I6dV<+>N<:+;!<0Ao|<JDsA>cE_
#@ M*:@;<ylB('WTA'aH7Aa'R.D4a6x?6#;EFq<`aE9C.F+/^,8Ab'mdDL=fK/fCT7A^Z:4wLdV
#@ <+em<LBuIYD+4CDE+'!NTA/ZFIDoolRA'NB,E4V#D+c9!eD%X<JD7+dfD2,BCF'1<:+G8-wE
#@ 1>fC+VVmX?!@UZ?h?!eD7#Q)F-<XeDIwf*F3f1EFc#=JD4rjIDYg?lBBR,!F3f1EFlZME+Ft
#@ a=6R<SF+<#V<+LdV<+9bN<@ktWU@4@(sFu`mdDc?:4wLdV<+LdV<+7pZQH?/nIDxf0*F@8gF
#@ E|uXt+Dn<@wLdV<+LdV<+LdV<+91VE+Fta=6Lr:s+8vV<+LdV<+>wO@wLdV<+LdV<+7pZQHS
#@ d;EFV6I?6R<SF+:l:s+cFPF+<#V<+LdV<+LdV<+:tJTAHR8EFV6I?6Lr:s+8vV<+LdV<+>wO
#@ @wLdV<+LdV<+6dV<+LdV<+&QYH9Dd0V6)bTG;n/wr6X5y.:%vg-:m6?I:PN-871g.C<s7877
#@ Q_ae:avU(<gAO;wLdV<+LdV<+7pZQHSd;EFV6I?6R<SF+<)qT,*tY8AtN0<IXE8=+?/nIDxf
#@ 0*F=WrSAP!i<+yEnT,;R?<@j1CxFK@[email protected]+Dn<@wLdV<+LdV<+LdV<+7pZQHe0cSA)mRQ
#@ BT/f*F3f1EFtN0<InosZ4esPF+<#V<+LdV<+LdV<+LdV<+O!r<+@>/kB+QG)F3+uD+!?L?wL
#@ dV<+LdV<+LdV<+LdV<+em<LBuIYD+s8|N@LsC9G!dRQB>6ME+.5#D+sjkSA=A`nAPSo8/:uM
#@ TA.K..Dr2rjB:/ufA;%lD+2'aaE4s@lBCxG<F/[email protected]<:+LdV<+LdV<+LdV<+@NncB
#@ )K.C>wm<eD32=mBGXME+ijkIDGAip6MK:77)Bx7.B`xQH6dV<+LdV<+LdV<+LdV<+LdV<+1=
#@ ,D+5Oy|3>KB!.K4IU?B,0C<D1lW4=Ll_2Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+lWNe
#@ Dl`GFD6ik)FxhLBD:>ym75)C!5l'9q@fHmIDq?hgCW('V@I!;yE0GX6wLdV<+LdV<+LdV<+L
#@ dV<+LdV<+>#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+91VE+7xME+R(9q@dw)
#@ 5Bk|e^F-Q(`Fs|/bEFx>UAVVBxFw(/=wLdV<+LdV<+LdV<+LdV<+91VE+,?0bEruwlB'E(yG
#@ C@BX@R<SF+.C;:+LdV<+LdV<+LdV<+O*S9,f1+D++p/bE.bl(F?-)E+m0Hr@!FV5B)fACF6=
#@ !j@<>;EFvO<JD&N!iC5Q?<@=fBfD8LVE+u@oC+tiE+E2fbDF'skjB11<:+LdV<+LdV<+LdV<
#@ +NvA9Go'cSAyq*RH,?0bE8jjZ4ruwlB'E(yGC@BX@R<SF+MNRF+<#V<+LdV<+LdV<+LdV<+L
#@ dV<+:tJTA0KAkBSt|:7)6BfD8LVE+Hx>UA^tsbD@@9<I#g)RH,?0bE%Hew,6dV<+LdV<+LdV
#@ <+LdV<+LdV<+9MfCF4bLyG|^lAF/,--E2fbDFXd'RH2'aaEig?lB3^^^>0+VmB@n&<Iybi6w
#@ LdV<+LdV<+LdV<+LdV<+LdV<+VVmX?wsSbEl#sJD2I-<@8LVE+;|DkBaH0e;3f1EF.w=JDWM
#@ qT,:cLyGI)JFDws@lB6#R@wLdV<+LdV<+LdV<+LdV<+LdV<+w!saE/WVTA3#V<+LdV<+LdV<
#@ +LdV<+>wO@wLdV<+LdV<+LdV<+;`4hCtgbnA/62gCs9V5BBcpT,;R?<@j1CxF:D0C+C_A*1D
#@ n<@wLdV<+LdV<+LdV<+LdV<+a&P>+l-lSA19XeD2Jc^F0QJkBuEs>wLdV<+LdV<+LdV<+LdV
#@ <+7pZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7ENRF+<#V<+LdV<+LdV<+LdV<+LdV<+
#@ 1A`nAp%Bx3PkC&Gi9XU6L:F:6yfT=+C_A*1q_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+rT
#@ bOBmjW371?0bE`?t>+/3j<+!s!j@Z.#4Bv-0bE|.'7ApZ@6BQ7uDF6vV<+LdV<+LdV<+LdV<
#@ +LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+:tJTA8Jc^FAYG9>VVmX?m
#@ w2PB!r1*F'`90D&%DE+ko<JD.I%F+Sd;EFV6I?6R<SF+kp-=wLdV<+LdV<+LdV<+LdV<+91V
#@ E+IS731dgJ7A`jUkB|eEU@-I7ID!M|RA&w/gCmxoRAY_'RH2'aaEkf0*FMImq>svV<+LdV<+
#@ LdV<+LdV<+:tJTA?sDD+ko<JD.I%F+Cyf^FctPF+.C;:+LdV<+LdV<+LdV<+NvA9Go'cSAyq
#@ *RHd&T<4F#/gCmxoRAY_'RHHJql0MImq>Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+CrDD+,?0b
#@ E%HK(F?*uD+/G#D+l-lSA19XeD:SkQB'A#D+4k2DFw3BCF.JqcB3uJTAq<Z8A'N!/D'0elB>
#@ IG<F*-R;@s,B3@(T#j@tptjBwdmlB'*L?wLdV<+LdV<+LdV<+LdV<+LdV<+91VE+s#/gCmxo
#@ RAY_'RHIS731LCdq>6dV<+LdV<+LdV<+LdV<+LdV<+5|VkB9mZQHS*4;I0h_?+ko<JD.I%F+
#@ Cyf^FdvQF+MNRF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA0KAkBSt|:7)6BfD8LVE+Hx
#@ >UA^tsbD@@9<I#g)RHleM!?#g)RHmeM!?8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA0KAk
#@ BaH0e;3f1EF.w=JDQ@+U,;R?<@rOtbD@@9<I#g)RHleM!?#g)RHmeM!?8vV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+)`H7A`Ro;@j7ap@<>;EFvO<JDU_'RHwp%UAYF><@=fBfD@@9<IGdYpAvv^
#@ 7ATiE+E2fbDF>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+94:JD,P7*C6dV<+LdV<+LdV<+LdV<+
#@ LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+@NncB0tCE+*#V<+LdV<+LdV<+LdV<+>wO@wLdV<+Ld
#@ V<+LdV<+;`4hCtgbnA/62gCs9V5BBcpT,;R?<@j1CxF:D0C+DhyE1Dn<@wLdV<+LdV<+LdV<
#@ +LdV<+b,Y>+l-lSA19XeD2Jc^F0QJkBuEs>wLdV<+LdV<+LdV<+LdV<+7pZQHe<;oA9v&yG;
#@ J)*FpjDNAq+xR6L;is6El_o7ENRF+<#V<+LdV<+LdV<+LdV<+LdV<+1A`nAp%Bx3PkC&Gi9X
#@ U6L:F:6yfT=+C_A*1q_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+rTbOBmjW371?0bE`?t>+
#@ 03j<+!s!j@Z.#4Bv-0bE|.'7ApZ@6BQ7uDF6vV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+Ld
#@ V<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+:tJTA8Jc^FAYG9>VVmX?mw2PB!r1*F'`90D&%DE
#@ +ko<JD.I%F+Sd;EFV6I?6R<SF+kp-=wLdV<+LdV<+LdV<+LdV<+91VE+IS731dgJ7A`jUkB|
#@ eEU@-I7ID!M|RA&w/gCmxoRAY_'RH2'aaEkf0*FMImq>svV<+LdV<+LdV<+LdV<+:tJTA8Jc
#@ ^FC_G9>VVmX?mw2PB!r1*F'`90D&%DE+ko<JD.I%F+Sd;EFV6I?6R<SF+mp-=wLdV<+LdV<+
#@ LdV<+LdV<+91VE+r#/gCmxoRAY_'RHHJql0LCdq>6dV<+LdV<+LdV<+LdV<+5|VkB9mZQHRw
#@ +;I0h_?+ko<JD.I%F+Cyf^FctPF+MNRF+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+3k2DFw3BC
#@ F4+j|D8YpJDt>/kB+QG)F3+uD+,RxhC1wND+,?0bE%HK(F9mtD+v@!eD#E>0A!xmID16sJDE
#@ ?)E+k^YSA&N!iC,oW/Dgd|;@26sJD4#V<+LdV<+LdV<+LdV<+LdV<+:tJTA@wND+ko<JD.I%
#@ F+Cyf^FdvQF+.C;:+LdV<+LdV<+LdV<+LdV<+NvA9Go'cSAyq*RHe&T<4F#/gCmxoRAY_'RH
#@ IS731MImq>Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+t#/gCmxoRAY_'RHJyRN1LC
#@ dq>6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+5|VkB9mZQHT0=;I0h_?+ko<JD.I%F+Cyf^Fe%QF
#@ +MNRF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA0KAkBSt|:7)6BfD8LVE+Hx>UA^
#@ tsbD@@9<I#g)RHleM!?#g)RHmeM!?8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA0KA
#@ kBaH0e;3f1EF.w=JDQ@+U,;R?<@rOtbD@@9<I#g)RHleM!?#g)RHmeM!?8vV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+)`H7A`Ro;@j7ap@<>;EFvO<JDU_'RHwp%UAYF><@=fBfD@@9<IGd
#@ YpAvv^7ATiE+E2fbDF>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+94:JD-YREC6dV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+@NncB0tCE++#V<+LdV<+L
#@ dV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+w!saE*g;:+LdV<+LdV<+LdV<+TX9
#@ 3I6dV<+LdV<+LdV<+>N<:+LdV<+TX93I1D5)F!>,D+Ly>UAVVBxFK@OBDF_'RH2'aaEkf0*F
#@ b`xQH6dV<+LdV<+LdV<+#6|K8wq,<@=IC9G(btD+'!NTA3)^aEt>/kBpi/bE4LVE+3'8UAJ@
#@ [email protected]+,?0bE'Y#D++HB3@(T#j@tptjBwdmlB'*L?wLdV<+LdV<+LdV<+7pZQHe0cS
#@ A)mRQBFx>UAVVBxF:D0C+BV&d0XE8=+e0cSA)mRQBT/f*F3f1EFtN0<InosZ4esPF+<#V<+L
#@ dV<+LdV<+LdV<+O!r<+@>/kB+QG)F3+uD+%0SQB7q1*Fw1<:+LdV<+LdV<+LdV<+@NncB)K.
#@ C>wm<eD32=mBGXME+ijkIDGAip6MK:77)Bx7.B`xQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+
#@ 5Oy|3>KB!.K4IU?B,0C<D1lW4=Ll_2Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+lWNeDl`
#@ GFD6ik)FbWi<+!s!j@Z.#4Bv-0bE|.'7ApZ@6BQ7uDF6dV<+LdV<+LdV<+LdV<+LdV<+>N<:
#@ +LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+(c:*:'%U;@4b2_F'K|N@E40wE8E+mBo
#@ %oC+6xG<FtPYKA)6BfDv0U?wLdV<+LdV<+LdV<+LdV<+91VE+7xME+R(9q@dw)5Bk|e^F-Q(
#@ `Fs|/bEFx>UAVVBxFw(/=wLdV<+LdV<+LdV<+LdV<+91VE+5r1*FdgJ7A`jUkB|eEU@-I7ID
#@ !M|RAS_'RH2'aaEkf0*F&D;:+LdV<+LdV<+LdV<+JS)!F>*_TGruwlB'E(yGT/f*F?O0<I_N
#@ a'4&QYH95l|[email protected]|D:R8EF!XZW@41<:+LdV<+LdV<+LdV<+JS)!F?3%pGruwlB'E(yGT/f*
#@ F?O0<I`Qa'4v-B08%D>D+,=VE+5(,!F3f1EF!?L?wLdV<+LdV<+LdV<+LdV<+91VE+s#/gCm
#@ xoRAY_'RH?O0<I`Qa'4v-B08%D>D+,=VE+'TYKA!me^F4#V<+LdV<+LdV<+LdV<+ARkQB'A#
#@ D+_|C^G8jjZ4Ze^wHb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF4bLyG|^lAF/,--E2fbDF
#@ Xd'RH2'aaEig?lB3^^^>?|C^G%Hew,6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF&WI9>t*Z8AC>
#@ qT,=r1*FLCdq>j!r<+t`qE+,=VE+'TYKA!me^F4#V<+LdV<+LdV<+LdV<+LdV<+ARkQB'A#D
#@ +P+VmB8jjZ4K3q3Cb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF4bLyGI)JFDws@lBF
#@ u:s+?/nIDYg?lB3^^^>0+VmB%Hew,6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@fskjBp-!
#@ eD?AccEwfbDFGdYpAvv^7Ag&aaE4s@lBL?SF+;|DkBSt|:7)6BfD@@9<I6dV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+'!?r@'WC>wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+Ld
#@ V<+94:JD:w_TG6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+TX93I1D5)F!>,D+&=!iC&K#D
#@ FI_'RHFta=6:dw%?1k_?+ji07-u;!iC&K#DFI_'RH2'aaEkf0*FYwnW4-j)5I<H<:+LdV<+L
#@ dV<+LdV<+O*S9,MW<0A!xmID16sJDwsY8A7q1*FiuF>+l-lSA19XeDBR8EF4XVE+!?L?wLdV
#@ <+LdV<+LdV<+LdV<+7pZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7ENRF+<#V<+LdV<+
#@ LdV<+LdV<+LdV<+1A`nAp%Bx3PkC&Gi9XU6L:F:6yfT=+C_A*1q_xQH6dV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+rTbOBmjW371?0bE^3b>+f@sU@dRF?+/3j<+!s!j@Z.#4Bv-0bE|.'7ApZ@6
#@ BQ7uDF6vV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+L
#@ dV<+:tJTA6ZNW@0!J9>VVmX?mw2PB!r1*F'`90D&%DE+Sd;EFV6I?6:dw%?6dV<+LdV<+LdV
#@ <+LdV<+9MfCF7q1*F1':p@x7>OBd(FY?!@UZ?*7pV@trI9>t*Z8AC>qT,*tY8AtN0<I,-T!?
#@ 6dV<+LdV<+LdV<+LdV<+9MfCF7q1*F2':p@x7>OBd(FY?!@UZ?*7pV@trI9>t*Z8AC>qT,*t
#@ Y8AtN0<I-0T!?6dV<+LdV<+LdV<+LdV<+9MfCF0uI9>t*Z8AC>qT,=Ef^FbdbH0R'T9,t.gA
#@ G)#ZKAp!lSA>.j|D:R8EF4XVE+!?L?wLdV<+LdV<+LdV<+LdV<+,RxhCFYU^,X<SF+k'H9>t
#@ *Z8AC>qT,=Ef^Fcm(d0B`xQH6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF4bLyG|^lAF/,--E2fb
#@ DFXd'RH2'aaEig?lB3^^^>:0f*F%Hew,j!r<+e;o(F-l!mB0(ME+.5#D+2'aaE4s@lB52ufA
#@ :J;EF6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF&WI9>t*Z8AC>qT,=r1*FucbH0R'T9,dKUTAw@
#@ VTA?*uD+,uk)F.?/kB+QG)F3+uD+'TYKA!3|N@41<:+LdV<+LdV<+LdV<+LdV<+NvA9Go'cS
#@ Ayq*RHd&T<4F#/gCmxoRAY_'RHHJql0MImq>Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+C
#@ rDD+,?0bE%HK(F?*uD+/G#D+l-lSA19XeD:SkQB'A#D+4k2DFw3BCF.JqcB3uJTAq<Z8A'N!
#@ /D'0elB>IG<F*-R;@s,B3@(T#j@tptjBwdmlB'*L?wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ 91VE+s#/gCmxoRAY_'RHIS731LCdq>6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+5|VkB9mZQHS*
#@ 4;I0h_?+ko<JD.I%F+Cyf^FdvQF+MNRF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJT
#@ A0KAkBSt|:7)6BfD8LVE+Hx>UA^tsbD@@9<I#g)RHleM!?#g)RHmeM!?8vV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+)`H7A`Ro;@j7ap@<>;EFvO<JDU_'RHwp%UAYF><@=fBfD@@9<IGdY
#@ pAvv^7ATiE+E2fbDF>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+94:JD,P7*C6dV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+@NncB0tCE+*#V<+LdV<+Ld
#@ V<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+w!saE40<:+LdV<+LdV<+LdV<+TX93
#@ I6dV<+LdV<+LdV<+DCZKA%@;kB)K.C>#XmID0k>D+?/nIDxf0*FYwnW4%!G>+>7G9>#XmID0
#@ k>D+Sd;EFV6I?6:dw%?1k_?+l_xQH6dV<+LdV<+LdV<+LdV<+ae@*1'N!/D'0elB=@,!F3f1
#@ EF7q1*FiuF>+l-lSA19XeD.rjID(Jc^F4#V<+LdV<+LdV<+LdV<+1A`nA,p5jB0k(E+1lo(F
#@ cKrZ3PkC&Gi9XU6L:F:6D9&q>Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQHtjDNAq+xR6L;
#@ is6El_o7:osZ4(o`5I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+<*WKA'q(E+kMaDF7RME+|Q8E
#@ F!XZW@dRF?+/3j<+!s!j@Z.#4Bv-0bE|.'7ApZ@6BQ7uDF6vV<+LdV<+LdV<+LdV<+LdV<+>
#@ wO@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+:tJTA(xwV@0!J9>VVmX?mw2PB!r1
#@ *F'`90D&%DE+?/nIDxf0*F&D;:+LdV<+LdV<+LdV<+JS)!F(c|N@xqI>+R(9q@dw)5Bk|e^F
#@ -Q(`Fs|/bEruwlB'E(yGT/f*F3f1EFtN0<I,-T!?6dV<+LdV<+LdV<+LdV<+9MfCF7q1*F2'
#@ :p@x7>OBd(FY?!@UZ?*7pV@trI9>t*Z8AC>qT,;R?<@j1CxFcm(d0xC;:+LdV<+LdV<+LdV<
#@ +JS)!F+)ZKAruwlB'E(yGFx>UA?O0<I_Na'4&QYH95H0bE#pbSA,ZbDF7O,D+wAoC+!?L?wL
#@ dV<+LdV<+LdV<+LdV<+,RxhCFYU^,JgRF+k'H9>t*Z8AC>qT,/pe^Fcm(d0B`xQH6dV<+LdV
#@ <+LdV<+LdV<+LdV<+9MfCF4bLyGI)JFDws@lBFu:s+?/nIDYg?lB3^^^>,y>UA%Hew,j!r<+
#@ e;o(F-l!mB0(ME+.5#D+tiE+E2fbDF.,TbE34<:+LdV<+LdV<+LdV<+LdV<+JS)!F/MqcBru
#@ wlB'E(yGC@BX@ce,5I_Na'4#6|K8wq,<@5Vi|D*KAkBFxVE+l-lSA19XeD0fAoA5Q?<@5c|N
#@ @41<:+LdV<+LdV<+LdV<+LdV<+NvA9Go'cSAyq*RHd&T<4F#/gCmxoRAY_'RHHJql0MImq>D
#@ n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+CrDD+,?0bE%HK(F?*uD+/G#D+l-lSA19XeD:Sk
#@ QB'A#D+4k2DFw3BCF.JqcB3uJTAq<Z8A'N!/D'0elB>IG<F*-R;@s,B3@(T#j@tptjBwdmlB
#@ '*L?wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+s#/gCmxoRAY_'RHIS731LCdq>6dV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+5|VkB9mZQHS*4;I0h_?+ko<JD.I%F+Cyf^FdvQF+MNRF+<#V<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA0KAkBaH0e;3f1EF.w=JDQ@+U,;R?<@rOtbD@
#@ @9<I#g)RHleM!?#g)RHmeM!?8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7A`Ro;@j7a
#@ p@<>;EFvO<JDU_'RHwp%UAYF><@=fBfD@@9<IGdYpAvv^7ATiE+E2fbDF>#V<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+94:JD,P7*C6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+@NncB0tCE+*#V<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<
#@ +LdV<+LdV<+w!saE&x;:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+DCZKA%@;kB)K.C>#
#@ XmID0k>D+?/nIDxf0*FYwnW4&'P>+>7G9>#XmID0k>D+Sd;EFV6I?6:dw%?1k_?+l_xQH6dV
#@ <+LdV<+LdV<+LdV<+ae@*1'N!/D'0elB,Q|N@7`|N@vO=>wLdV<+LdV<+LdV<+LdV<+,;jAF
#@ 4XVE+7xME+)tLE+A>/kB+QG)F1oG)FAVrSA*+G<FCUJ`F@LJ&G)#ZKA>Jd#H,oW/Dgd|;@26
#@ sJD6dV<+LdV<+LdV<+LdV<+1=,D+%=<JD'oYKA@/;EF)Cy|3>KB!.K4IU?B,0C<l9e9I<H<:
#@ +LdV<+LdV<+LdV<+LdV<+@NncBGYU^,ijkIDGAip6MK:77)Bx7.1k_?+(1QF+<#V<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+*c?q@;f:s+YfVTAxjwa12VkDFkVA*1;%2s4G`H7Ax@5SAsp,<@a.o
#@ RA9<|n/CRVE+6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+
#@ LdV<+LdV<+9MfCF)oe^FAYG9>VVmX?mw2PB!r1*F'`90D&%DE+ko<JD.I%F+?/nIDxf0*FLC
#@ dq>svV<+LdV<+LdV<+LdV<+:tJTA(xwV@yvS>+R(9q@dw)5Bk|e^F-Q(`Fs|/bEruwlB'E(y
#@ GFx>UAVVBxFcm(d0xC;:+LdV<+LdV<+LdV<+JS)!F9R,!FHJql0dgJ7A`jUkB|eEU@-I7ID!
#@ M|RA&w/gCmxoRAY_'RH2'aaEkf0*FLCdq>svV<+LdV<+LdV<+LdV<+:tJTA6ZNW@yvS>+R(9
#@ q@dw)5Bk|e^F-Q(`Fs|/bEruwlB'E(yGT/f*F3f1EFtN0<I-0T!?6dV<+LdV<+LdV<+LdV<+
#@ 9MfCF5/J9>t*Z8AC>qT,/pe^FctPF+_jN?+u8MbD;%DE+pNR.D4LVE+(|tfA:J;EFwsY8A7q
#@ 1*F6dV<+LdV<+LdV<+LdV<+5|VkB9mZQHa)^<I0h_?+ko<JD.I%F+3m'V@ce,5IMQe9I<H<:
#@ +LdV<+LdV<+LdV<+LdV<+JS)!F?3%pGruwlB'E(yGFx>UAIS731LCdq>j!r<+d&rTA0`4hCr
#@ ZmID6fAoA(^[email protected]+wAoC+!?L?wLdV<+LdV<+LdV<+LdV<+LdV<+,RxhCFYU^,^NSF+k'H
#@ 9>t*Z8AC>qT,/pe^FdvQF+MNRF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA0KAkBSt|:7
#@ )6BfD8LVE+Hx>UA^tsbD@@9<I#g)RH&fM!?#g)RH'fM!?l&T9,gHUkB7Jc^Fw*B(F.0?SAws
#@ Y8A.w=JD0KAkB0?U?wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+r#/gCmxoRAY_'RH5r1*
#@ FucbH0R'T9,dKUTAw@VTA?*uD+,uk)F.?/kB+QG)F3+uD+5(,!F3f1EF7q1*F6dV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+5|VkB9mZQHRw+;I0h_?+ko<JD.I%F+AlQW@ce,5IMQe9I<H<:+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,4+qcBwq,<@9+ME+9qi|DwH>0A!xmID16sJD5|VkB
#@ ()7*C/WVTAw@VTA75:JD(^[email protected]+l-lSA19XeD>+dfD&'MLCtGegC+osdDsQ<JD%<XeD-1
#@ <:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+JS)!F0V7*CruwlB'E(yGT/f*FIS731LCdq>6dV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+5|VkB9mZQHS*4;I0h_?+ko<JD.I%F+AlQW@dk55IM
#@ Qe9I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+JS)!F,2ufAvv^7Ag&aaE4s@lBFu:
#@ s+Sd;EFd_pi:2fbDF%N4x,5=^^>ZM4x,6@^^>u;9:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+:mui@c*m*EhjKZ?+oG)F(%ljBH2qT,:cLyG|^lAF/,--E2fbDF^_'RHwp%UAHhX8A.w
#@ =JD=O<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+@NncB0tCE++#V<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+w!saE*g;:+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+'!?r@7b*@wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+94:JD:w_TG6dV<+LdV<+LdV<+LdV<+
#@ >N<:+LdV<+LdV<+TX93I1D5)F!>,D+Ly>UAVVBxFBDZKAL.Js+ZPJF+Sd;EFV6I?6R<SF+:l
#@ :s+y_xQH6dV<+LdV<+LdV<+LdV<+#6|K80YpJD'fAoA.0?SA6bl(F>%lDF%)|N@VSq=+8aVE
#@ +*[email protected]<:+LdV<+LdV<+LdV<+@NncB)K.C>wm<eD32=mBGXME+ijkIDGAip6M
#@ K:77)Bx7.B`xQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+5Oy|3>KB!.K4IU?B,0C<D1lW4=Ll
#@ _2Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+lWNeDl`GFD6ik)FZkg|D%QYKABvvwH5)C!5
#@ l'9q@fHmIDq?hgCW('V@I!;yE0GX6wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+Ld
#@ V<+>wO@wLdV<+LdV<+LdV<+LdV<+7pZQH?/nIDxf0*F@8gFEP!i<+yEnT,;R?<@j1CxFK@OB
#@ [email protected]+Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+,;jAF/,--E2fbDF>6ME+.5#D+!?L?wLdV<+L
#@ dV<+LdV<+LdV<+LdV<+em<LBuIYD+4CDE+,=VE+,HX/D*lreDrl<JDq|p:@%y;:+LdV<+LdV
#@ <+LdV<+LdV<+JS)!F,2ufAvv^7ATiE+E2fbDFFx>UA^tsbD@@9<I6dV<+LdV<+LdV<+LdV<+
#@ LdV<+rTdQ6hp^LC/B`nAwsY8A.w=JD=bl(F.0?SA/EuSA//B,E9C<:+LdV<+LdV<+LdV<+Ld
#@ V<+@NncB)K.C>#XmID0k>D+Sd;EFV6I?6:dw%?1k_?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+`y%d0'N!/D'0elB=@,!F3f1EF7q1*F6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF7
#@ q1*FdgJ7A`jUkB|eEU@-I7ID!M|RAS_'RH2'aaEkf0*F&D;:+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+JS)!F>*_TGruwlB'E(yGC@BX@R<SF+.C;:+LdV<+LdV<+LdV<+LdV<+LdV<+NvA9Go'cSA
#@ yq*RHs&T<4F#/gCmxoRAY_'RH?O0<IMQe9I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+JS
#@ )!F,2ufAvv^7Ag&aaE4s@lBFu:s+Sd;EFd_pi:2fbDF%N4x,Dj^^>u;9:+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+:mui@c*m*EhjKZ?+oG)F(%ljBH2qT,:cLyG|^lAF/,--E2fbDF^_'RHw
#@ p%UAHhX8A.w=JD=O<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+@NncB0tCE+9#V<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+;`4hCtgbnA/62gCs9V5BBcpT,
#@ ;R?<@j1CxF:D0C+C_A*1Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+a&P>+l-lSA19XeDBR
#@ 8EF4XVE+!?L?wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+HJql0dgJ7A`jUkB|eEU@-I7I
#@ D!M|RA&w/gCmxoRAY_'RH2'aaEkf0*FLCdq>svV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA8
#@ Jc^FByG9>VVmX?mw2PB!r1*F'`90D&%DE+ko<JD.I%F+Sd;EFV6I?6R<SF+lp-=wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+91VE+,w/gCmxoRAY_'RHHJql0LCdq>6dV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+5|VkB9mZQHa)^<I0h_?+ko<JD.I%F+Cyf^FctPF+MNRF+<#V<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+:tJTA?sDD+ko<JD.I%F+Cyf^FdvQF+.C;:+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+NvA9Go'cSAyq*RHd&T<4F#/gCmxoRAY_'RHIS731MImq>Dn<@wLdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+wp%UAYF><@=fBfD8LVE+V/f*F3f1EFc#=JDuSl
#@ ?>a)^<I=Hk?>Rw+;Iybi6wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+VVmX?wsSbE
#@ l#sJD2I-<@8LVE+;|DkBaH0e;3f1EF.w=JDWMqT,*tY8Ac#=JD=O<:+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+@NncB0tCE+*#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+w!saE9?<:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6
#@ dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I1D5)F!>,D+Ly>UAVVBxFK@O
#@ [email protected]+XE8=+Sd;EFV6I?6R<SF+:l:s+y_xQH6dV<+LdV<+LdV<+LdV<+LdV<+tfE37)6BfD
#@ 8LVE+=XG<Fq5|N@41<:+LdV<+LdV<+LdV<+LdV<+O*S9,RF=SA.=ME+/(,!F3f1EF.w=JD=b
#@ l(F.0?SA/EuSA//B,E9C<:+LdV<+LdV<+LdV<+LdV<+@NncB)K.C>#XmID0k>D+?/nIDxf0*
#@ FYwnW4-j)5I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,LT<0A!xmID16sJDwsY8A7q1*F6
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF7q1*FdgJ7A`jUkB|eEU@-I7ID!M|RAS_'RHFta
#@ =6:dw%?6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF5/J9>t*Z8AC>qT,=r1*FLCdq>6dV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+5|VkB9mZQHa)^<I0h_?+ko<JD.I%F+Cyf^Fcm(d0B`xQH6d
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF4bLyGI)JFDws@lBFu:s+?/nIDYg?lB3^^^
#@ >?|C^G%Hew,6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@fskjBp-!eD?AccEwfbDFT
#@ /f*F3f1EFc#=JDWMqT,:cLyGI)JFDws@lB6#R@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+w!saE9?<:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+DCZKA
#@ %@;kB)K.C>#XmID0k>D+?/nIDxf0*FYwnW4.p25I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+O*
#@ S9,MW<0A!xmID16sJDwsY8A7q1*F6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF7q1*F1':p
#@ @x7>OBd(FY?!@UZ?*7pV@trI9>t*Z8AC>qT,*tY8AtN0<I,-T!?6dV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+9MfCF7q1*F2':p@x7>OBd(FY?!@UZ?*7pV@trI9>t*Z8AC>qT,*tY8AtN0<I-0T
#@ !?6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF5/J9>t*Z8AC>qT,=r1*FucbH0svV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+ARkQB'A#D+_|C^G8jjZ4ruwlB'E(yGC@BX@ce,5IMQe9I<H<:+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+JS)!F/MqcBruwlB'E(yGC@BX@dk55I.>,=wLdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+,RxhCFYU^,NsRF+k'H9>t*Z8AC>qT,=r1*Fvm(d0B`xQH6
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF4bLyGI)JFDws@lBFu:s+?/nIDYg?
#@ lB3^^^>?|C^G_T:x>0+VmB%Hew,6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@
#@ fskjBp-!eD?AccEwfbDFT/f*F3f1EFc#=JDWMqT,:cLyGI)JFDws@lB6#R@wLdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+w!saE*g;:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93
#@ I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+'!?r@6y!@wLdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+>#V<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+>wO
#@ @wLdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+1=,D+%=<JD'oYKA@/;EF)Cy|3>KB!.K4IU?
#@ B,0C<l9e9I<H<:+LdV<+LdV<+LdV<+@NncBGYU^,ijkIDGAip6MK:77)Bx7.1k_?+(1QF+<#
#@ V<+LdV<+LdV<+LdV<+LdV<+*c?q@;f:s+YfVTAxjwa1?-)E+r-ur@J-sfA;%lD+Sd;EFd_pi
#@ :2fbDFM+dfDFx>UA^tsbD@@9<I5)C!5l'9q@fHmIDq?hgCW('V@I!;yE0GX6wLdV<+LdV<+L
#@ dV<+LdV<+>#V<+LdV<+LdV<+>wO@wLdV<+LdV<+>#V<+>wO@wLdV<+epCkBo0m*EpvnX?3Dc
#@ cE,&MEF(i#j@UVEU@#D'7AqoW/D33elB26sJD@([email protected]+/^,8AcEs>w6dV<+rTdQ6
#@ hp^LC4P5cE7q1*F&WB3@tG5cEoEV5BE?)E+%xRQBU,E<F17ME+0g!iC)v#D+iN!iCtqpcB6P
#@ peD(Lpp@#L-<@6+4'Dda!>wLdV<+,CHr@l'9q@!0=JDd%+Y?!@UZ?h?!eD7#Q)F-<XeDDL3L
#@ DuC#D+7xME+.w=JDY`xQH6dV<+LdV<+6,aaEegpgC-2fTA.NP)F&#V<+LdV<+9bN<@ktWU@W
#@ &-m6coW/D*FuSA.4<:+LdV<+Mn%sFja0;@u@#D+2'aaE4s@lBoDkl9,pMTA%#V<+LdV<+9bN
#@ <@ktWU@#QYKA)6BfD6;)*Fi%u)FT<:4wLdV<+LdV<+7pZQHCyf^FBDZKAW<uK/y_xQH6dV<+
#@ LdV<+LdV<+9MfCF7q1*F:Zg6wLdV<+LdV<+>#V<+LdV<+659:+LdV<+O*S9,wf)o7i&bN7%0
#@ K66.E,m6F,vi9|D/Q8yG=/:6dV<+LdV<+1=,D+IABX@R<SF+7H:s+y_xQH6dV<+LdV<+LdV<
#@ +1=,D+&=!iC&K#DFI_'RH?O0<InosZ4esPF+<#V<+LdV<+LdV<+LdV<+O!r<+@>/kB+QG)F3
#@ +uD+!?L?wLdV<+LdV<+LdV<+LdV<+7pZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7ENR
#@ F+<#V<+LdV<+LdV<+LdV<+LdV<+1A`nAp%Bx3PkC&Gi9XU6L:F:6yfT=+C_A*1q_xQH6dV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+rTbOBmjW371?0bEs7CK0?-)E+B<j<+!s!j@Z.#4Bv-0bE|.
#@ '7ApZ@6BQ7uDF6vV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<
#@ +LdV<+LdV<+:tJTA8Jc^Fs':p@x7>OBd(FY?!@UZ?*7pV@=,qT,=r1*F&D;:+LdV<+LdV<+L
#@ dV<+JS)!F/MqcB8%DE+ko<JD.I%F+Cyf^FbdbH0svV<+LdV<+LdV<+LdV<+O!r<+e0G<Fq?D
#@ TA>6ME+,T|N@!:_LC+S5D+n?VTA@nsdD?AccE%ntD+&kbD+2'aaE4s@lB0ZB3@wNYKA)6BfD
#@ 8LVE+wsSbE4#V<+LdV<+LdV<+LdV<+ARkQB'A#D+P+VmB@n&<I0h_?+ko<JD.I%F+Cyf^Fcm
#@ (d0B`xQH6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF4bLyGE?:8@6H:s+;E^;@#1^^>0+VmB@n&<
#@ Iybi6wLdV<+LdV<+LdV<+LdV<+LdV<+m|YaD.0?SA,25DFqBK;@63=JD#ZFID-APQB#Z#j@h
#@ p^LC4P5cE(*;1ChcT?wLdV<+LdV<+LdV<+LdV<+LdV<+91VE+(APD+R(9q@g*;1Chd|Z?`=B
#@ q@L_'RHwp%UAM1-.DHMqT,;s@lBL?SF+yB%%='NB,E(^mID%(/=wLdV<+LdV<+LdV<+LdV<+
#@ LdV<+i*=kA?-)E+mxPSA;!D9G'/ufA.OZ8ATE`cEI+dfD/#BCF,7G<F(MNBD_'v>wLdV<+Ld
#@ V<+LdV<+LdV<+LdV<[email protected]+Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ ++/=`EI+dfD4bLyG.0?SA5Q?<@=fBfD8LVE+njkSA6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+1
#@ =,D+|Kb'Gu`mdDJx5=I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:mui@y@YjBoO0Z?njk
#@ SAsbLyGQii-E2fbDF^_'RHwp%UAM1-.D.O<:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF7,ylBP1-.DF_'RHwp%UAM1-.D.O<:+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+JS)!F5.j|DiZI9>s9-x?4N#-E%SK;@+I7IDuC#D+;|DkBypR1:(8x:IsvV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH053;I8s:E+XFPF+<#V<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+O!r<+YuraEk9MTA=_90D&%DE+/G3'D,pMTA0h?fD6;)*F6dV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+1=,D+Wji-E2fbDF@8gFEKW8EF/,--E2fbDFy_xQH6dV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+'!?r@FR8EF/,--E2fbDFi?n(Fh^m=wLdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +'!?r@2rjIDws@lBoDkl9,pMTA%#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+)`H7Ag'Z<@%:7IDlU,W@slSCFQii-E2fbDF^_'RH)C)5BNjkSA>#V<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+;`4hC@m
#@ ZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF(*;1CruwlB'E(yGP`NgD6!SF+.C;:
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,_`hJD09BCF9R8EF/,--E2fbDFCBDE+tiE+E2
#@ fbDF6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+Wji-E2fbDF@8gFEKW8EF/,--E2fb
#@ DFy_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@YhFtFja0;@u@#D+
#@ 1Qo(F@wf*Ff++:@;<ylB('WTAUuA`F/1VE+=T-W@72wj@fEi'F3f1EF.w=JDGF`cE.KQ@wLd
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7AfH<JDgI|mA.OZ8Ag*;1C)+VE+7Q?<@=fBfD:
#@ ^7',,ocRA<Wk<+h)fCFg*;1Chd|Z?njkSAP`NgDsHw%?6dV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+qd1kB&mSCFF4O<@ktWU@,MNBD(RMTA@2NTAuNACF)k
#@ KV@;Y#D+IDvmB?2qT,.+;1C1aH7Ab0mIDws@lBCxG<FAPx:I6dV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+1=,D+|Kb'Gu`mdDJx5=I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+:mui@y@YjBoO0Z?0lTKDaU,W@slSCF7vkIDws@lBFok<+#*7hC+,G9>lj|Z?mxP
#@ SAqK7IDuC#D+=T-W@p'/=wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+Ld
#@ V<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+w!saE/WVTA3#V<+LdV<+LdV<+LdV<
#@ +>wO@wLdV<+LdV<+LdV<+;`4hCtgbnA/62gCs9V5BBcpT,=r1*FYwnW4.p25I<H<:+LdV<+L
#@ dV<+LdV<+O*S9,MW<0A!xmID16sJD7q1*F3Sk%Gt!<:+LdV<+LdV<+LdV<+@NncB)K.C>wm<
#@ eD32=mBGXME+ijkIDGAip6MK:77)Bx7.B`xQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+5Oy|3
#@ >KB!.K4IU?B,0C<D1lW4=Ll_2Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+lWNeDl`GFD6i
#@ k)Fue>>+/3j<+!s!j@Z.#4Bv-0bE|.'7ApZ@6BQ7uDF6vV<+LdV<+LdV<+LdV<+LdV<+>wO@
#@ wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+:tJTA8Jc^FAYG9>VVmX?mw2PB!r1*F'
#@ `90D&%DE+ko<JD.I%F+Cyf^FbdbH0xC;:+LdV<+LdV<+LdV<+JS)!F(c|N@yvS>+R(9q@dw)
#@ 5Bk|e^F-Q(`Fs|/bEruwlB'E(yGC@BX@R<SF+lp-=wLdV<+LdV<+LdV<+LdV<+91VE+r#/gC
#@ mxoRAY_'RHHJql0LCdq>6dV<+LdV<+LdV<+LdV<+5|VkB9mZQHRw+;I0h_?+ko<JD.I%F+Cy
#@ f^FctPF+MNRF+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+3k2DFw3BCF4+j|D8YpJDt>/kB+QG)
#@ F3+uD+,RxhC1wND+,?0bE%HK(F9mtD+v@!eD#E>0A!xmID16sJDE?)E+k^YSA&N!iC,oW/Dg
#@ d|;@26sJD4#V<+LdV<+LdV<+LdV<+LdV<+:tJTA@wND+ko<JD.I%F+Cyf^FdvQF+.C;:+LdV
#@ <+LdV<+LdV<+LdV<+NvA9Go'cSAyq*RHe&T<4F#/gCmxoRAY_'RHIS731MImq>Dn<@wLdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+91VE+wp%UAM1-.DB@+U,/=..DfSl?>Rw+;I=Hk?>S*4;Iyb
#@ i6wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+m|YaD.0?SA,25DFqBK;@63=JD!3<SA#y;:+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+JS)!F5.j|DiZI9>VVmX?mxPSAf=ap@hp^LCGdYpAvv^7ANjkSA^
#@ _'RH.w=JDWMqT,F'lo@3QBfD-ZbDF&D;:+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,f1+D+9ti
#@ |DaCBq@9iW<@5,:eD@X`7/L!e#HE?)E+r-ur@1APQB!3x;@sx;:+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+@NncBGYU^,.+;1C@8gFEXjK3I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,,k
#@ c#HE?)E+4U%F+.5#D+njkSA6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+|Kb'Gu`md
#@ DJx5=I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:mui@y@YjBoO0Z?njkSAsbLyGQ
#@ ii-E2fbDF^_'RHwp%UAM1-.D.O<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF7,ylBP1-.DF_'RHwp%UAM1-.D.O<:+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+JS)!F5.j|DiZI9>s9-x?4N#-E%SK;@+I7IDuC#D+;|DkBypR1:(8
#@ x:IsvV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH053;I8s:E+XFPF+<#V<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O!r<+YuraEk9MTA=_90D&%DE+/G3'D,pMTA0h?fD6
#@ ;)*F6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+Wji-E2fbDF@8gFEKW8EF/,-
#@ -E2fbDFy_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+'!?r@FR8EF/,--E
#@ 2fbDFi?n(Fh^m=wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+'!?r@2rjIDws@lBoDkl9,pMTA%#V<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H
#@ 7Ag'Z<@%:7IDlU,W@slSCFQii-E2fbDF^_'RH)C)5BNjkSA>#V<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF(*;1CruwlB'E(yGP`NgD6!SF+.C;:+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,_`hJD09BCF9R8EF/,--E2fbDFCBDE+tiE+E2
#@ fbDF6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+Wji-E2fbDF@8gFEKW8EF/,-
#@ -E2fbDFy_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@YhFtF
#@ ja0;@u@#D+1Qo(F@wf*Ff++:@;<ylB('WTAUuA`F/1VE+=T-W@72wj@fEi'F3f1EF.w=JDGF
#@ `cE.KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.NP)FLZSF
#@ +<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7AfH<JDgI|mA.OZ8Ag
#@ *;1C)+VE+7Q?<@=fBfD:^7',,ocRA<Wk<+h)fCFg*;1Chd|Z?njkSAP`NgDsHw%?6dV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+qd1kB&m
#@ SCFF4O<@ktWU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+IDvmB?2qT,.+;1C1aH7Ab0mIDws@l
#@ BCxG<FAPx:I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+|Kb'Gu`mdDJ
#@ x5=I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:mui@y@YjBoO0Z?0lT
#@ KDaU,W@slSCF7vkIDws@lBFok<+#*7hC+,G9>lj|Z?mxPSAqK7IDuC#D+=T-W@p'/=wLdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+w!saE+j;:+LdV<+LdV<+LdV<+LdV<+T
#@ X93I6dV<+LdV<+LdV<+LdV<+LdV<+'!?r@'WC>wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV
#@ <+T@PF+!xMTA7pZQHe0cSA)mRQBC@BX@:dw%?1k_?+m_xQH6dV<+LdV<+LdV<+LdV<+ae@*1
#@ 'N!/D'0elB,Q|N@<8;-B*!nID6dV<+LdV<+LdV<+LdV<+1=,D+%=<JD'oYKA@/;EF)Cy|3>K
#@ B!.K4IU?B,0C<l9e9I<H<:+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,ijkIDGAip6MK:77)Bx7
#@ .1k_?+(1QF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+*c?q@;f:s+YfVTA`BW?3>r,o65)C!5l
#@ '9q@fHmIDq?hgCW('V@I!;yE0GX6wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV
#@ <+>wO@wLdV<+LdV<+LdV<+LdV<+91VE+HJql0dgJ7A`jUkB|eEU@-I7ID!M|RA&w/gCmxoRA
#@ Y_'RH?O0<I,-T!?6dV<+LdV<+LdV<+LdV<+9MfCF7q1*F2':p@x7>OBd(FY?!@UZ?*7pV@tr
#@ I9>t*Z8AC>qT,=r1*FMImq>svV<+LdV<+LdV<+LdV<+:tJTA8Jc^FC_G9>VVmX?mw2PB!r1*
#@ F'`90D&%DE+ko<JD.I%F+Cyf^Fd!D*1xC;:+LdV<+LdV<+LdV<+JS)!F/MqcBruwlB'E(yGC
#@ @BX@ce,5I.>,=wLdV<+LdV<+LdV<+LdV<+,RxhCFYU^,NsRF+k'H9>t*Z8AC>qT,=r1*F!m(
#@ d0B`xQH6dV<+LdV<+LdV<+LdV<+LdV<+CWncB/WVTAw@VTA=YpJD/EuSA'N!/D'0elBAdC9G
#@ o'cSA5.qcBwq,<@9+ME+7@,!Fr#sJDs>/kB+QG)F3+uD+=b3'Ds=#D+&kbD+nwpV@w'BCF1o
#@ G)F6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF'ZI9>t*Z8AC>qT,=r1*F!dbH0svV<+LdV<+LdV<
#@ +LdV<+LdV<+ARkQB'A#D+Q4q3C8jjZ4ruwlB'E(yGC@BX@dk55IMQe9I<H<:+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+JS)!F1_RECruwlB'E(yGC@BX@eq>5I.>,=wLdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+,RxhCFYU^,PwSF+k'H9>t*Z8AC>qT,=r1*F#m(d0B`xQH6dV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+9MfCF4bLyGE?:8@6H:s+;E^;@#1^^>0+VmB_T:x>14q3C_T:x>2=7OC%H
#@ ew,6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+s|*m68APQBr2rjB/NngC)EnlB*rUHBh6v>
#@ wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+(APD+R(9q@g*;1Chd|Z?`=Bq@L_'RHw
#@ p%UAM1-.DHMqT,;s@lBL?SF+yB%%='NB,E(^mID%(/=wLdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+i*=kA?-)E+mxPSA;!D9G'/ufA.OZ8ATE`cEI+dfD/#BCF,7G<F(MNBD_'v>wLdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<[email protected]+Dn<@wLdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<++/=`EI+dfD4bLyG.0?SA)<..D&#V<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+VVmX?wm<eDh<..D`^CkBY_'RH.w=JDWMqT,:cLyGE?:8@&KQ@wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ :tJTAB(3cELH:8@8ZpT,:cLyGE?:8@&KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+91VE+(APD+obLyG#B9(F4wJhCs9UZ?njkSAGdYpAvv^7ANjkSA&D;:+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+@NncBGYU^,.+;1C@8gFEXjK3I<H<:+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+O*S9,yj=r@#X&(F5=&`Fs|/bE7O,D+4&u)F&y/wE2fbDF4#V<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH.w=JDCDZKAH`;!F3f1EF.w=JD
#@ UGPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+94:JD5L,!F3f1EF.w
#@ =JDjY`hB'h|7A6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+94:JD'#ZKA)6BfD6;)*Fi%u)Fj
#@ X;:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+1=,D+|Kb'Gu`mdDJx5=I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+:mui@ji+@Gmm'Z?#FRdDaCBq@U_'RH.w=JDWMqT,=-ylBP1-.D.O
#@ <:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:
#@ tJTA2B*W@s#/gCmxoRAY_'RH053;I.>,=wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+iB#FD*F?<@9%,!F3f1EF.w=JDB(3cEwsY8A.w=JD5#V<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH.w=JDCDZKAH`;!F3f1EF.w=JDUGPF+<#V<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@mZQ
#@ H#k|Z?3DccE,&MEFE;i@E/0fCFP`NgD6!SF+VVmX?2'aaE4s@lBCxG<FAPx:I6dV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+|Kb'Gu`mdDJx5=I<H<:+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:mui@y@YjBoO0Z?0lTKDaU,W@slSCF
#@ KW8EF/,--E2fbDF>w3j@,(D&,i35SAqU,W@slSCFh<..DF_'RH053;IsvV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+(
#@ d|7ApxBq@YhFtFja0;@u@#D+1Qo(F@wf*Ff++:@;<ylB('WTAUuA`F/1VE+=T-W@72wj@XqA
#@ RA)6BfD8LVE+8w`TA>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nA
#@ yq*RH'%HW@<Jx:IDn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+VVmX?wm<eD!l1`FmwRdDaCBq@=7iKA)6BfD:^7',,ocRA<Wk<+h)fCFg*;1Chd|Z?njkS
#@ AP`NgDsHw%?6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+'!?r@)cU>wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+94:JD,P7*C6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+@Nnc
#@ B0tCE+*#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+>#V<+LdV<+LdV<+9nATAAl9KDG
#@ h9:+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+w<HNBvQ|N@8i#j@,3K(F4GTfD,osdD&=c
#@ SA03rjB5WB3@wNYKABvvwH?42*F0ZFID*F?<@:.G<F)Vi|DaCBq@5#V<+LdV<+LdV<+:tJTA
#@ 2B*W@j':p@b!RdDaCBq@kCbOBuIYD+;E^;@<gRF+K%CfD@@9<I%1g!7coW/D*FuSA4gw%?6d
#@ V<+LdV<+LdV<+#6|K80y-fD(*;1C)+VE+8tLE+0lTKDF,E<FLjG<F*-R;@,8>D+.5#D+njkS
#@ A6dV<+LdV<+LdV<+1=,D+VaNgD6!SF+:l:s+y_xQH6dV<+LdV<+LdV<+LdV<+.D3w<LjG<F+
#@ KAkBAAPQB!3x;@sx;:+LdV<+LdV<+LdV<+@NncBGYU^,@E0bE!dMTAb`xQH6dV<+LdV<+LdV
#@ <+LdV<+LdV<+l'9q@i<;oAjF|;@d+|mAG>qT,;s@lBL?SF+;E^;@&KQ@wLdV<+LdV<+LdV<+
#@ LdV<+>#V<+LdV<+LdV<+LdV<+:tJTAB(3cELH:8@8ZpT,/=..D.O<:+LdV<+LdV<+LdV<+JS
#@ )!F5.j|DiZI9>s9-x?4N#-E%SK;@+I7IDuC#D+;E^;@w:w%?6dV<+LdV<+LdV<+LdV<+1=,D
#@ +VaNgD6!SF+:l:s+y_xQH6dV<+LdV<+LdV<+LdV<+LdV<+#6|K8u9DTA&V#D+*7pV@3(j|D)
#@ u+lB'h|7A5r@lB,<L?wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQHK%CfD@@9<I8s:E+7Q?<@=fB
#@ fD:^7',Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+w!saE5Q?<@=fBfD6;)*Fi%u)FjX;:+
#@ LdV<+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+w!saEws
#@ Y8A.w=JDjY`hB'h|7A6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+@Nnc
#@ BGYU^,@E0bE!dMTAb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@!0aaEvp/fDg*;1C)
#@ +VE+K%CfD@@9<IP`NgD|9n1:(8x:I6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV
#@ <+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+91VE+(APD+ko<JD.I%F+=T-W@YdbH0
#@ svV<+LdV<+LdV<+LdV<+LdV<+O!r<+YG0fD&@VTA5Q?<@=fBfD8LVE+3#ZKA)6BfDv0U?wLd
#@ V<+LdV<+LdV<+LdV<+LdV<+7pZQHK%CfD@@9<I8s:E+7Q?<@=fBfD:^7',Dn<@wLdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+qd1kB&mSCFF4O<@ktWU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+I
#@ DvmB?2qT,.+;1C1aH7A!f:EF/,--E2fbDFHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+LdV<+@Nn
#@ cBGYU^,@E0bE!dMTAb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@i<;oAp?<eD
#@ s%vY?mxPSA;u:s+2'aaE4s@lBFok<+#*7hC+,G9>lj|Z?mxPSAqK7IDuC#D+=T-W@p'/=wLd
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FH
#@ VeK/,:HSAU_'RH053;Il'[email protected]=JDGF`cE.KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7AfH<JDgI|mA
#@ .OZ8Ag*;1C)+VE+&sY8A.w=JDO(8s+mZ!iC&KQ3BtTRdDaCBq@oF|;@8ZpT,.+;1C&D;:+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<
#@ +TX93I6dV<+LdV<+LdV<+>N<:+LdV<+LdV<+IJcZE8JlcE@ZE8wLdV<+LdV<+>#V<+LdV<+9
#@ nATAAl9KDFe9:+TX93I6dV<+qK>nAh++Y?,CHr@uqCEF*'f^F9+ME+VVmX?)FV5B_yEU@#D'
#@ 7AqoW/D33elB26sJD@([email protected]+/^,8AcEs>w6dV<+!w'j76ij_<,tn|;|vQ)<X5y.:
#@ %vg-:n96.:N/`P84_r`:Q16c;&K^77,^p58!<0p5-V4w<vMn|;j!T@+!p1B+Z;'(<^vV<+D-
#@ <cEv9#j@c*m*EhjKZ?+oG)F(%ljBJExQH2'aaEig?lB4)ZKA^tsbD@@9<I<H<:+LdV<+O*S9
#@ ,+>+F;:w;L9sQZ.:>(;:+LdV<+Mn%sFja0;@u@#D+p^(kBd@QyF9#V<+LdV<+9bN<@ktWU@W
#@ &-m6coW/D*FuSA.4<:+LdV<+Mn%sFja0;@u@#D+'%HW@s9v>wLdV<+LdV<+*XxlBagbSA7AK
#@ (FdMy'EvtGSA%#V<+LdV<+9bN<@ktWU@vH>0Af6J(F2.<:+LdV<+Mn%sFja0;@u@#D+hNRw6
#@ %lkcEf<v>wLdV<+LdV<+*XxlBagbSA5Q?<@=fBfD6;)*Fi%u)FjX;:+LdV<+Mn%sFja0;@u@
#@ #D+tiE+E2fbDFi?n(Fh^m=wLdV<+LdV<+q'-W@-:,!F>WT,E6LUZ?0g_5Bl4sY?njkSAu!V<
#@ +LdV<+O!r<+N*Z8A1=,D+2'aaE4s@lBBXME+%S#D+qTDTA+L.F+tA*W@slSCF9mtD+.5#D+r
#@ idlB!v<:+LdV<+@NncB)K.C>wm<eD32=mBGXME+ijkIDGAip6MK:77)Bx7.B`xQH6dV<+LdV
#@ <+LdV<+1=,D+5Oy|3>KB!.K4IU?B,0C<D1lW4=Ll_2Dn<@wLdV<+LdV<+LdV<+LdV<+lWNeD
#@ l`GFD6ik)Fk;o=+lRE?+yB%%='NB,E(^mIDU5l<+!s!j@Z.#4Bv-0bE|.'7ApZ@6BQ7uDF6v
#@ V<+LdV<+LdV<+>wO@wLdV<+LdV<+>#V<+LdV<+:tJTAHR8EFbM:3:uC#D+Sd;EFd_pi:2fbD
#@ F>#V<+LdV<+:tJTA4rjIDM1-.DF_'RHTH4h:2fbDF>#V<+LdV<+:tJTAHR8EFcVUN:iZI9>V
#@ VmX?mxPSAf=ap@hp^LCT/f*F3f1EFc#=JDU;;s+2'aaE4s@lBFok<+yB%%='NB,E(^mID%(/
#@ =wLdV<+LdV<+7pZQHSd;EFcVUN:6!SF+:l:s+y_xQH6dV<+LdV<+LdV<+.D3w<LjG<F+KAkB
#@ AAPQB9R8EF/,--E2fbDF)<..D&#V<+LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+Ld
#@ V<+LdV<+LdV<+VVmX?wm<eDh<..D`^CkBO`;!F3f1EF.w=JDQ:nT,;R?<@rOtbD@@9<I6dV<
#@ +LdV<+LdV<+>N<:+LdV<+LdV<+JS)!F5.j|D|9n1:uC#D+Sd;EFd_pi:2fbDF>#V<+LdV<+L
#@ dV<+:tJTAHR8EFcVUN:iZI9>s9-x?4N#-E%SK;@+I7IDuC#D+Sd;EFd_pi:2fbDFWkN?+'lm
#@ _E1keZE8JlcE)!J9>4/;EF(*;1C)+VE+mxPSAf=ap@+ct)FsvV<+LdV<+LdV<+1A`nAyq*RH
#@ 2'aaEyt91C@8gFEXjK3I<H<:+LdV<+LdV<+LdV<+O*S9,yj=r@#X&(F5=&`Fs|/bE7O,D+4&
#@ u)F&y/wE2fbDF4#V<+LdV<+LdV<+LdV<+94:JD5L,!F3f1EF.w=JDjY`hB'h|7A6dV<+LdV<
#@ +LdV<+LdV<+1=,D+|Kb'Gu`mdDJx5=I<H<:+LdV<+LdV<+LdV<+LdV<+:mui@ji+@Gmm'Z?#
#@ FRdDaCBq@K`;!F3f1EF.w=JDQ:nT,=-ylBP1-.D.O<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV
#@ <+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+:tJTAHR8EFd_pi:2fbDFruwlB'E(yGT/f*F
#@ 3f1EFe43;I_Na'4)lUE:aCBq@5#V<+LdV<+LdV<+LdV<+:tJTAHR8EFcVUN:iZI9>t*Z8AC>
#@ qT,;R?<@d)_S@Zm(d0R'T9,|s91C)+VE+pl&(F4#V<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK
#@ /*XxlBagbSA3l@lB@mZQH#k|Z?3DccE,&MEFE;i@E/0fCFT/f*F3f1EFc#=JDA2wj@fEi'F3
#@ f1EF.w=JDGF`cE.KQ@wLdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV
#@ <+LdV<+LdV<+)`H7AfH<JDgI|mA.OZ8Ag*;1C)+VE+7Q?<@=fBfD:^7',,ocRA<Wk<+h)fCF
#@ g*;1Chd|Z?njkSAT/f*F3f1EFc#=JD%(/=wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+>w
#@ O@wLdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+9MfCF5Q?<@rOtbD8LVE+ko<JD.I%F+Sd;E
#@ FcVUN:6!SF+_jN?+k*yS@slSCF6dV<+LdV<+LdV<+9MfCF5Q?<@d)_S@s#/gCmxoRAY_'RH2
#@ 'aaEyt91CMImq>j!r<+MxPSA'l#j@+ct)F6dV<+LdV<+>N<:+LdV<+O*S9,6R2&=JBYA+#hS
#@ @+H'687)bTG;n/wr6X5y.:=+5w<tW1B+o0T@+3-2B+<1;:+LdV<+JS)!F+)ZKAPN^[email protected]+
#@ 6dV<+LdV<+1=,D++2g!7coW/D*FuSAL?SF+AM`H0Dn<@wLdV<+LdV<+LdV<+91VE+S?nL:iZ
#@ I9>VVmX?mxPSAf=ap@hp^LCFx>UA^tsbD@@9<[email protected]=p>6dV<+LdV<+LdV<+1=,D
#@ +Ly>UAPN^[email protected]+Dn<@wLdV<+LdV<+LdV<+LdV<+i3sgB43x;@%ZtfA;%lD+pGe6B+
#@ ct)F4P5cEwsY8A.w=JD5#V<+LdV<+LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+VVmX?wm<eDh<..D`^CkBA7iKA)6BfD:^7',Fx>UA^tsbD@@9<I6dV<+
#@ LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+JS)!F5.j|D|9n1:uC#D+?/nIDYg?lB6#R@wLd
#@ V<+LdV<+LdV<+LdV<+91VE+S?nL:iZI9>s9-x?4N#-E%SK;@+I7IDuC#D+?/nIDYg?lB4gw%
#@ ?j!r<+aBHr@8JfCF@#H)FruwlBC.j|DaCBq@2B*W@slSCFyFK;@o^.=wLdV<+LdV<+LdV<+L
#@ dV<+7pZQH?/nIDLt91C@8gFEXjK3I<H<:+LdV<+LdV<+LdV<+LdV<+O*S9,yj=r@#X&(F5=&
#@ `Fs|/bE7O,D+4&u)F&y/wE2fbDF4#V<+LdV<+LdV<+LdV<+LdV<+94:JD'#ZKA)6BfD6;)*F
#@ i%u)FjX;:+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,@E0bE!dMTAb`xQH6dV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+l'9q@!0aaEvp/fDg*;1C)+VE+&sY8A.w=JDQ:nT,=-ylBP1-.DF;;s+/*s+
#@ E&FV5B2TVkB5ovlB7H:s+6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I1
#@ D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+91VE+TH4h:2fbDFruwlB'E(yGFx>UAPN^S@Ydb
#@ H0R'T9,|s91ChcT?wLdV<+LdV<+LdV<+LdV<+LdV<+91VE+S?nL:iZI9>t*Z8AC>qT,*tY8A
#@ e43;I`Qa'4)lUE:aCBq@0TvhCs8L?wLdV<+LdV<+LdV<+LdV<+LdV<+qd1kB&mSCFF4O<@kt
#@ WU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+IDvmB?2qT,*tY8Ac#=JDA2wj@XqARA)6BfD8LVE
#@ +8w`TA>#V<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+VVmX?wm<eD!l1`FmwRdDaCBq@=7iKA)6BfD:^7',,ocRA<Wk<+h)fCFg*;
#@ 1Chd|Z?njkSAFx>UA^tsbD@@9<IsvV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+
#@ LdV<+>#V<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+JS)!F+)ZKA^tsbD8LVE+ko
#@ <JD.I%F+?/nIDLt91CLCdq>6dV<+LdV<+LdV<+LdV<+9MfCFwsY8A|@PD+ko<JD.I%F+?/nI
#@ DLt91CMImq>6dV<+LdV<+LdV<+>N<:+LdV<+LdV<+O*S9,f1+D+66=iC>6ME+Llo=+5B0bE;
#@ ,:eDA7r_F=+ME+70=JD0APQB/JklB#FV5B2,BCF./ufA0||N@*wWTA#Lpp@#L-<@(C<:+LdV
#@ <+LdV<+@NncBGYU^,M;wLDCqm#H,B>cE!v-<@hF|;@<gRF+:l:s+hEi'FrZ!iCZi07-S&KdE
#@ p#TbEj_c|G9psZ4dpPF+<#V<+LdV<+LdV<+LdV<+O!r<+@=Bq@'B`nA(*;1C)+VE+:p2TA3)
#@ ^aE/y-fD3iO.D4`-@wLdV<+LdV<+LdV<+LdV<+7pZQHSd;EFcVUN:6!SF+7H:s+Zi07-Fx>U
#@ APN^S@B@[email protected]+Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQHNIu_FLf.q@43BCFLZSF+<
#@ #V<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7Ax@5SAgki:@>MMEF1kd|G>/qT,;R?<@rOtbD@@9
#@ <IT/f*F3f1EFe43;IFx>UA^tsbD@@9<IFx>UAPN^S@3O<:+LdV<+LdV<+LdV<+LdV<+TX93I
#@ 6dV<+LdV<+LdV<+LdV<+LdV<+8JfCFB4uD+GvV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+Ld
#@ V<+LdV<+LdV<+LdV<+@NncB)K.C>wm<eD32=mBGXME+ijkIDGAip6MK:77)Bx7.B`xQH6dV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+5Oy|3>KB!.K4IU?B,0C<D1lW4=Ll_2Dn<@wLdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+lWNeDl`GFD6ik)Fwe/2/d#A,EI=j|DaCBq@N0t#H(Zt
#@ fA|OF?+Sd;EFbM:3:(8x:Ib^'RHR6S1:(8x:I5)C!5l'9q@fHmIDq?hgCW('V@I!;yE0GX6w
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+Ld
#@ V<+LdV<+LdV<+82_`FR7bH06dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+TX93I6dV<+LdV<
#@ +>N<:+TX93I6dV<+qK>nAh++Y?,CHr@uqCEF*'f^F9+ME+VVmX?wsSbEl#sJD2I-<@8LVE+/
#@ ^,8Ab'mdDL=fK/fCT7A^Z:4wLdV<+Yo668l#K66KK)19u0f@+CQu87Si(B+Mu297-!</:-ib
#@ N7dxZ)<<'/B=L-|@+#hS@+Olq58orB77)H/K:>US@+RbT(<h5bN7|BR:wLdV<+,CHr@l'9q@
#@ l)fCFa-IID/F^Z?7?&UANwf*F3f1EFc#=JDHR8EFcVUN:fNSuG'QYKA^tsbD8LVE+S?nL:fN
#@ SuG?gRF+<#V<+LdV<+5kFhCkdggCG:wLDCqm#H,B>cE!v-<@hF|;@sx;:+LdV<+Mn%sFja0;
#@ @u@#D+m0Hr@Tx@CF&#V<+LdV<+9bN<@ktWU@,MNBDaD!QAn9cr@fyw@wLdV<+LdV<+*XxlBa
#@ gbSA+oreDC*P7@NwCOAwq;:+LdV<+Mn%sFja0;@u@#D+lri<7%Q5cE5#V<+LdV<+9bN<@ktW
#@ U@4@(sFu`mdDwy;:+LdV<+O*S9,*XxlBagbSAGjd|GPi?LBedYSAdH8:+LdV<+O*S9,Mq%V@
#@ 6J3'DwL6<@kcK?wLdV<+LdV<+7pZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7ENRF+<#
#@ V<+LdV<+LdV<+1A`nAp%Bx3PkC&Gi9XU6L:F:6yfT=+C_A*1q_xQH6dV<+LdV<+LdV<+LdV<
#@ +rTbOBmjW371?0bEq=HD2Tx8u5&o^K8ial)<>KQ3BtTRdDaCBq@oF|;@8ZpT,;R?<@rOtbD@
#@ @9<I&JG9>lj|Z?mxPSAqK7IDuC#D+?/nIDYg?lB4gw%?5)C!5l'9q@fHmIDq?hgCW('V@I!;
#@ yE0GX6wLdV<+LdV<+LdV<+>#V<+LdV<+>wO@wLdV<+LdV<+q2@xF&;oC+w3F/Ds/B(F#N&(F
#@ %Si|D,B*W@slSCFJOZ-E&#V<+LdV<+:tJTAHR8EFcVUN:aCBq@Y)!=I6dV<+LdV<+9MfCFws
#@ Y8AMxPSA?ExQH(I8:+LdV<+O*S9,jE><@=fBfD8LVE+2|G)F&La:@ip<>wLdV<+LdV<+7pZQ
#@ HSd;EFcVUN:fNSuG?gRF+:l:s+mZ!iCy_xQH6dV<+LdV<+LdV<+-;m|;3f1EF.w=JD=bl(F&
#@ 2#j@iNa>wLdV<+LdV<+LdV<+91VE+2'aaEyt91C)+VE+h)fCFs&=JDQ)3_DcU,W@slSCFT_'
#@ RH2'aaEig?lBL?SF+5KxhC8%DE+.&TbErimlBwy!x4Gs7',svV<+LdV<+T@PF+!xMTA<H<:+
#@ LdV<+LdV<+O*S9,jE><@=fBfD8LVE+*WB3@>n5cE5#V<+LdV<+LdV<+:tJTA1|=.D/IJ-B)Y
#@ Yx,;R?<@d)_S@tDY-EBsME+IDvmB?2qT,;R?<@rOtbD@@9<I8vV<+LdV<+LdV<+:tJTAHR8E
#@ FcVUN:aCBq@!:pQA0JbD+<[email protected]'/=wLdV<+LdV<+>#V<+LdV<+O!r<+TiE+E2fbDF4&=JD8
#@ uJTAk'cOB6dV<+LdV<+1=,D+Ly>UAPN^S@tDY-E4DZKAEE?wEDj7',Dn<@wLdV<+LdV<+LdV
#@ <+mZGFDws@lB8MqcB6CQC+=;2EF6dV<+LdV<+LdV<+9MfCF!gP8A023SA?s)RHS?nL:fNSuG
#@ =V%+FUuA`F/1VE+?/nIDYg?lBNQ4(,6dV<+LdV<+LdV<+9MfCFwsY8AMxPSAt#J9>uKhgCDI
#@ |s@#7w%?6dV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+O!r<+TiE+E2fbDF>6ME+kicRAwq;:
#@ +LdV<+LdV<+JS)!F+)ZKAPN^S@slSCFi35SAwFIlBP+fK/p*RdDaCBq@M/qT,*tY8Ac#=JD`
#@ IfK/'ZPDF;o:s+qKDTA'<XeDsUvgBni+=wLdV<+LdV<+>#V<+LdV<+659:+LdV<+O*S9,brd
#@ CFGjd|G.JqcB7APQB#?m;@/ctfA;%lD+2'aaE4s@lBCxG<F/[email protected]<:+LdV<+JS)!F
#@ 3q3'D=TK(FlQCkB/?0bEjf<iCulSCF3+uD+aN!iCa-IIDEaVE+IDvmBH.fK/tuACFL*qJDtL
#@ ap@(Z5)FGm6bEBrpT,;R?<@d)_S@slSCF`Ve=FP_'RHS?nL:aCBq@;D0C+,!?nAh<..DlNjX
#@ .K--87g'@L:8nslH@oATAaF|;@Z7_QI&<^W?@*<p>u!V<+LdV<+:tJTAC7r_Fbd%fDDLVE+)
#@ G.>Hf44Y?vwviCrimlBCupT,Mkd|G*dw%?6dV<+LdV<+1=,D+TOm0DhD`*D>5cDFrog?+j_x
#@ QH6dV<+LdV<+LdV<+s|*m6El_o7-v-)<H<(u5bvV<+LdV<+LdV<+1A`nA,p5jB0k(E+1lo(F
#@ cKrZ3PkC&Gi9XU6L:F:6D9&q>Dn<@wLdV<+LdV<+LdV<+LdV<+7pZQHtjDNAq+xR6L;is6El
#@ _o7:osZ4(o`5I<H<:+LdV<+LdV<+LdV<+LdV<+<*WKA'q(E+kMaDF7RME+N`aN7.OZ8ANNm0
#@ DhD`*D>5cDFA5:JDv-IIDEaVE+,HX/DT/f*F3f1EF<l,,<CAx:Ii35SAqU,W@slSCFh<..DF
#@ _'RH2'aaEig?lB4gw%?E?)E+?/nIDLt91Coo2TA,LQ3BtTRdDaCBq@oF|;@8ZpT,*tY8Ac#=
#@ JD=Vfw,3th?+VVmX?l'uSAk.jY?moe^FDRm,E5#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+
#@ LdV<+>#V<+LdV<+LdV<+O!r<+lKG<F6;2`F07G<F*_/wE2fbDF5fAoA.0?SA7)v/D+S5D+%x
#@ RQBJ@PQB,iB3@+c:-B*!nID9mtD+vhoRA7G6bE<=,!F3f1EFE?)E+cwn=wLdV<+LdV<+LdV<
#@ +7pZQH:`qgCQU)r7/Mx:I1k_?+ii07-NNm0DerpjA3;2EF=WrSAAa-fD?6N3I<H<:+LdV<+L
#@ dV<+LdV<+O*S9,f1+D+m0Hr@!FV5B)fACF*iW<@5,:eD4AoC+u@oC+41VE+8tLE+#dhTAP,E
#@ <F(btD+i=|7AE?)E+n*Z8A6/4iC5KAkBFxVE+1|w@wLdV<+LdV<+LdV<+LdV<+91VE+Gw&F+
#@ c*Z8AlIap@w1cSA'<XeDO_'RH7?&UAbdbH0svV<+LdV<+LdV<+LdV<+1A`nAyq*RHlri<7%Q
#@ 5cECDZKAA!(aCRlN3I<H<:+LdV<+LdV<+LdV<+LdV<+@NncB)K.C>lj|Z?3DccE,&MEFE;i@
#@ E/0fCFNNm0DWKSF+*7pV@rNRdDkEIlB+&0C+F.YB2Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+qd1kB&mSCFF4O<@ktWU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+IDvmB?2qT,Mkd|G1aH
#@ 7AqJt_FKF`cE.KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+82_`FS@(d06dV<+LdV<+LdV<+
#@ LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn
#@ <@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+VVmX?009KD1kd|GscqkB!_|7Ai35SAqU,W
#@ @slSCFh<..DF_'RHOm|<IsvV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+82_`FR7bH06dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX9
#@ 3I1D5)F!>,D+TOm0DerpjA3;2EF@8gFE6ViSA'Q90Dy_xQH6dV<+LdV<+LdV<+LdV<+LdV<+
#@ 1=,D+o45SAuNACF)kKV@;Y#D+IDvmB?2qT,Mkd|GM=&`Fs|/bE`44Y?2|G)FPcU?+vvQF+<#
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@mZQH#k|Z?3Dcc
#@ E,&MEFE;i@E/0fCFNNm0DWKSF+VVmX?Gw&F+8w`TA>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+9
#@ nATAAl9KDGh9:+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7Ag'Z<@
#@ w1q-D70j'F,N|,EfHI9>lj|Z?mxPSAqK7IDuC#D+NIu_F)(/=wLdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+9nATAAl9KDFe9:+LdV<+LdV<+LdV<+LdV<
#@ +TX93I6dV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF
#@ .fK/*XxlBagbSA3l@lB@mZQH#k|Z?3DccE,&MEFE;i@E/0fCFNNm0DWKSF+VVmX?Gw&F+8w`
#@ TA>#V<+LdV<+LdV<+LdV<+LdV<+9nATAAl9KDGh9:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+
#@ LdV<+DCZKA%@;kBGYU^,>EsJDP^(kBd@QyFt/oW4%!G>+yEnT,Mkd|GMT<eDP?SF+7H:s+(F
#@ uSAy_xQH6dV<+LdV<+LdV<+LdV<+(c:*:<7fZEp#TbEr'L;@*FV5BGjd|G5/jFE-LDTA/KAk
#@ BFxVE+u@oC+,l:EFGjd|G9mtD+.5#D+%xRQBE?)E+w_/wE'FMTA)q|7A6dV<+LdV<+LdV<+L
#@ dV<+9MfCFGjd|GbkTKD/cB'F/64eDd-!eDmIBq@26sJDNNm0D=TK(F&D;:+LdV<+LdV<+LdV
#@ <+JS)!F3q3'D+`c|G@BxQH>#V<+LdV<+LdV<+LdV<+1A`nAyq*RHj`3x6>5cDF9psZ4esPF+
#@ <#V<+LdV<+LdV<+LdV<+LdV<+:tJTAGx4#Hl>&UAT_'RH7?&UA<O<:+LdV<+LdV<+LdV<+TX
#@ 93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+91VE+u-RyF=+ME+Q+T7Anw1Z?vwviCriml
#@ B%gI9>mxoRA!.!eDmIBq@26sJDNNm0D=TK(FLCdq>t;<JDm--x?vwviCrimlBCupT,Mkd|GB
#@ <SF+uqP-ES_'RHj`3x6>5cDFa^v>+j_U!?6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV
#@ <+@NncB)K.C>3'8UAdh`p@w1cSA'<XeDO_'RHu-RyFEt/<IRog?+j_xQH6dV<+LdV<+LdV<+
#@ LdV<+LdV<+1=,D+TOm0DerpjA3;2EF=WrSA5ySkB;?N3I<H<:+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+@NncBGYU^,Mkd|GMT<eDP?SF+:l:s+AsR',Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+91VE+u-RyF=+ME+cKxhCv_KZ?vwviCrimlBCupT,&TLm9=TK(FO3^BD!M|RAswDoAs
#@ &=JD.jjZ4L0;p>6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulS
#@ CF3+uD+Rm7#Hl>&UA:D0C+-du4I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZE
#@ q&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RHu-RyFEt/<Il'
#@ 9q@1kd|GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+:tJTAC7r_Fku03:s2K(Fi35SAqU,W@slSCFh<..DF_'RHu-R
#@ yFEt/<IsvV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7+:eDhqrp@77r_FY_'RH
#@ 7?&UAy1-.DB<SF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7Ag'
#@ Z<@w1q-D70j'F,N|,EfHI9>lj|Z?mxPSAqK7IDuC#D+NIu_F)(/=wLdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wL
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xM
#@ TA7pZQHNIu_FVJFnAJL9<I8s:E+%U|7A:IM&,Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+91VE+u-RyF=+ME+cKxhCv_KZ?vwviCrimlBCupT,&TLm9=TK(FO3^BD!M|RAswDoAs&
#@ =JD.jjZ4qk1u+svV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nA6uE'F/64eDd-!eDmIBq
#@ @26sJDNNm0D+`c|G*dw%?%P`H0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+q
#@ d1kB&mSCFF4O<@ktWU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+IDvmB?2qT,&TLm9=TK(F1aH
#@ 7AqJt_FKF`cE.KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+|Kb'Gu`mdDJx5=I<H<:+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+JS)!F3q3'D=TK(FNjkSAvLQ3BtTRdDaCBq@oF|;@8ZpT,&TLm
#@ 9=TK(F&D;:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+=3rfAtIa:@%M3'DS>qT,M
#@ kd|GTl:8@:t/<I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:mui@ji+
#@ @Gmm'Z?1^-x?/*s+E&&oC+h)fCFg*;1Chd|Z?njkSANNm0D?sw%?6dV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6d
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+8JfCFB4uD+GvV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<
#@ H<:+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuqC
#@ EF*'f^FHVeK/,:HSAU_'RHu-RyFEt/<Il'9q@1kd|GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+IJcZE8JlcE@ZE8wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+T@PF+6d
#@ V<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+1A`nAyq*RHlri<7%Q5cEL@OBD73^B
#@ D1YY%,Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQHNIu_FVJFnAJL9<I5F#D+'w)5BIGPF+<
#@ #V<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RHlri<7%Q5cECDZKAA!(aCRlN3I<H<:+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+JS)!F3q3'D=TK(FlQCkB/?0bEjf<iCulSCF3+uD+NIu_F
#@ Et/<IGB&`Fs|/bE`44Y?2|G)F0h_?+6i+=wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7p
#@ ZQH)G.>Hf44Y?vwviCrimlBCupT,Mkd|G*dw%?%P`H0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+qd1kB&mSCFF4O<@ktWU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+IDvmB?
#@ 2qT,Mkd|GB<SF+VVmX?Gw&F+8w`TA>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xM
#@ TA<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,@E0bE!dMTAb`xQH6dV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCFGjd|GTl:8@2+ME+h)fCFg*;1Chd
#@ |Z?njkSANNm0D=TK(F&D;:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+=3rfAtIa:
#@ @%M3'DS>qT,Mkd|GTl:8@:t/<I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+:mui@ji+@Gmm'Z?1^-x?/*s+E&&oC+h)fCFg*;1Chd|Z?njkSANNm0D?sw%?6dV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+TX93I1D5)F!>,D+TOm0DerpjA3;2EF@8gFE6ViSA'Q90Dy_xQH6dV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+9MfCFGjd|GuuI9>'ZPDFf7ap@w1cSA'<XeDO_'RH7?&UAT;;s+*7pV@r
#@ NRdDkEIlB_|S<47n0,1uB;:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+@NncB)K.C>3'8UAdh`
#@ p@w1cSA'<XeDO_'RH7?&UA:D0C+-du4I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RH7?&UA@2
#@ wj@`dp-DNgG<FAPx:I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+7?&UAy1-.DuuI9>lj|Z?mxPSAqK7IDuC#D+NIu
#@ _FEt/<IsvV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7+:eDhqrp@77r_FY_'RH
#@ 7?&UAy1-.DB<SF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7Ag'
#@ Z<@w1q-D70j'F,N|,EfHI9>lj|Z?mxPSAqK7IDuC#D+NIu_F)(/=wLdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wL
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+T@PF+6dV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+8JfCFB4uD+GvV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA
#@ <H<:+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuq
#@ CEF*'f^FHVeK/,:HSAU_'RH7?&UA@2wj@`dp-DNgG<FAPx:I6dV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+8JfCFB4uD+GvV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>#V<+L
#@ dV<+LdV<+LdV<+LdV<+O!r<+Xi<NC&N!iC4`DTA;u1`FB7r_F=+ME+wi#j@hp^LCjX;:+LdV
#@ <+LdV<+TX93I6dV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+O!r<+la3'D=TK(F7k1`FsX;:+
#@ LdV<+LdV<+O*S9,b9F:6'N^K8xPOF;y`<;wLdV<+LdV<+LdV<+7pZQHe<;oA9v&yG;J)*Fpj
#@ DNAq+xR6L;is6El_o7ENRF+<#V<+LdV<+LdV<+LdV<+1A`nAp%Bx3PkC&Gi9XU6L:F:6yfT=
#@ +C_A*1q_xQH6dV<+LdV<+LdV<+LdV<+LdV<+rTbOBmjW371?0bElbXJ0.XPaD2;oC+>OVE+n
#@ *Z8AGjd|G.JqcBvXg:@>MMEF4GTfDN_'RH2'aaEyt91Coo2TA,LQ3BtTRdDaCBq@oF|;@8Zp
#@ T,;R?<@rOtbD@@9<I-+dfDFx>UAPN^S@tDY-E*?J9>lj|Z?mxPSAqK7IDuC#D+?/nIDYg?lB
#@ 4gw%?5)C!5l'9q@fHmIDq?hgCW('V@I!;yE04<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+Ld
#@ V<+>N<:+LdV<+LdV<+IJcZE8JlcE?T<8wLdV<+LdV<+>#V<+>wO@wLdV<+epCkBo0m*EpvnX
#@ ?3DccE,&MEF(i#j@Z.#4BkX|mA+/2`F-Kt_F=+ME+/^,8Ab'mdDL=fK/fCT7A^Z:4wLdV<+E
#@ L+48j'5A+Bmo77sPG37j@Z)<9g077NKPA+G&/Q86k::+G8-wE1>fC+VVmX?mxPSAf=ap@hp^
#@ [email protected]#B*W@tDY-E:Cm0Dhu18@/'=JDVdu4IDn<@wLdV<+LdV<+q'-W@-:,!F>WT,E
#@ 6LUZ?0g_5Bl4sY?njkSA6dV<+LdV<+6,aaEegpgC-2fTA.NP)F&#V<+LdV<+9bN<@ktWU@0q
#@ eZEp#TbEj_c|G6dV<+LdV<+6,aaEegpgCB0v%='NB,E(^mID5#V<+LdV<+9bN<@ktWU@!?#j
#@ @!:_LCN?VTAMZ!iC6dV<+LdV<+6,aaEegpgC%u'eDkv;EFrH8:+LdV<+@NncB)K.C>3'8UAd
#@ h`p@w1cSA'<XeD)cB'F670wEBXVE+h)fCF(o5cEV+fK/,:HSAU_'RHV642:(8x:IY8/C+BV&
#@ d0XE8=+)G.>Hf44Y?vwviCrimlB%gI9>lj|Z?2|G)FUuA`F/[email protected]!?1k_?+
#@ j_xQH6dV<+LdV<+LdV<+rTdQ6hp^LC1=,D+njkSA/#BCFp#K(FAq5DF+CQC+=;2EFufY8A6m
#@ +!F3f1EF.w=JD5#V<+LdV<+LdV<+1A`nAyq*RHyl,,<CAx:I8s:E+7Q?<@=fBfD:^7',Dn<@
#@ wLdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+)`H7Af
#@ H<JDgI|mA.OZ8Ag*;1C)+VE+7Q?<@=fBfD:^7',Cm?fDJok<[email protected]<:+LdV<+LdV
#@ <+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+6vV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlB
#@ agbSA3l@lB@mZQH#k|Z?3DccE,&MEFF_'RH=;2EF1aH7A!f:EF/,--E2fbDFHRM`Fm2;4wLd
#@ V<+LdV<+LdV<+LdV<+7pZQHNIu_FcI)+E&FV5B`j07-T/f*F>WT,E6LUZ?0g_5Bl4sY?njkS
#@ A@8gFE-oHq@l-?SADKN3I<H<:+LdV<+LdV<+LdV<+LdV<+JS)!F+)ZKA>X,)D50J9>lj|Z?m
#@ xPSAqK7IDuC#D+c*Z8AlIap@w1cSA'<XeDljX9@j@|mA+/2`FR)3_D*I6x?mZ!iCH->hA.%V
#@ E+AGTfDN_'RH=;2EFQw#MA)6BfD6;)*Fu/4iCHYaH0xC;:+LdV<+LdV<+LdV<+LdV<+O*S9,
#@ VVmX?lj|Z?qu/fD-Cq-D=TK(FXd'RHV642:(8x:IKD?wEDj7',Xd'RH69Y11Om|<I>w3j@,(
#@ D&,6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+IJcZE8JlcEvw/gC=xVE+K%CfDP?SF
#@ +@n5cEti+=wLdV<+LdV<+LdV<+;`4hCtgbnAyq*RHyl,,<CAx:I8s:E+&sY8A.w=JDUGPF+<
#@ #V<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@mZQH#k|Z?3DccE,&MEFF_'
#@ RH=;2EF1aH7Ab0mIDws@lBCxG<FAPx:I6dV<+LdV<+LdV<+LdV<+8JfCFB4uD+pGo(FU_'RH
#@ =;2EFQE?wEDj7',svV<+LdV<+LdV<+>wO@wLdV<+LdV<+;`4hCtgbnA6uE'F/64eDd-!eDmI
#@ Bq@26sJDu&MTA:2GlBrKQ3Bu|m*E7FME+IDvmB?2qT,.+;1CNjkSAa`U!?1k_?+k_xQH6dV<
#@ +LdV<+LdV<+rTdQ6hp^LC1=,D+njkSA/#BCFp#K(FAq5DF+CQC+sQs>wLdV<+LdV<+LdV<+7
#@ pZQH=T-W@tDY-E4DZKAH`;!F*qbOB0j7lB@7%',Dn<@wLdV<+LdV<+LdV<+LdV<+fF6|=M/j
#@ F;bIMv>)Yr`:8k::+LdV<+LdV<+LdV<+@NncBGYU^,@E0bE!dMTAb`xQH6dV<+LdV<+LdV<+
#@ LdV<+LdV<+l'9q@i<;oAp?<eDs%vY?mxPSA=2qT,.+;1Coo2TAQE?wE;|k<[email protected]
#@ <:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+9MfCFkM<x6*kbD+cKxhCv_KZ?vwvi
#@ CrimlB%gI9>lj|Z?mZ!iCS;i@E/0fCFD7BoAg*;1C'o(*FQii-E)Uw%?@gl(Fu2jFE4ZbDF@
#@ Pv`4eRM`FHj07-(pTZ?ur/bEk'cOBfgggCcYh:@3hY%,svV<+LdV<+LdV<+LdV<+1A`nA6uE
#@ 'F/64eDd-!eDmIBq@26sJDNNm0DZt5hC3D0C+AM`H0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+
#@ vE_bD8DsJD0gGSAu!cr@bvO:@!jcRA8@ME++@DTA7k1`FsX;:+LdV<+LdV<+LdV<+LdV<+IJ
#@ cZE8JlcEI.Js+6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aa
#@ EegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RHx`COAB'SF+VVmX?5R?r@/QsJD2
#@ Q0bEHRM`F.O<:+LdV<+LdV<+LdV<+IJcZE8JlcEvw/gC=xVE+Rm7#HMZ!iCD%3j@,(D&,svV
#@ <+LdV<+LdV<+T@PF+!xMTA7pZQH=T-W@tDY-E4DZKAH`;!F3f1EF.w=JDUGPF+<#V<+LdV<+
#@ LdV<+LdV<+O!r<+y8+F;^/`P84_r`:8k::+LdV<+LdV<+LdV<+JS)!F3q3'DZt5hCpKQ3Bh=
#@ ap@(Z5)FUuA`F/1VE+.44Y?mxPSA7XME+EDJlBZIfK/'ZPDF;o:s+&!j'F(!`TAwh0;@'dM?
#@ F9y#D+L`ncBpjUkBv!aaEdUfq@iU!x4s85)Fei+=wLdV<+LdV<+LdV<+LdV<+7pZQH)G.>Hf
#@ 44Y?vwviCrimlBCupT,qN<x62_E;InosZ4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+mjN
#@ BD?+vJBnp,<@s!VkBrIbD+mZ!iC<8oTA*ZtfA.OZ8A6dV<+LdV<+LdV<+LdV<+LdV<+8JfCF
#@ B4uD+:;9:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+1=,D+|Kb'Gu`mdDJx5=I<H
#@ <:+LdV<+LdV<+LdV<+LdV<+:mui@y@YjBoO0Z?0lTKDaU,W@slSCFP`NgDfNSuG?gRF+6&=J
#@ DX^'RHV642:(8x:I6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C
#@ ,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^F?ZpT,qN<x62_E;Il'9q@tQ?<@=fBfD8LVE+8w`
#@ TA>#V<+LdV<+LdV<+LdV<+O!r<+N*Z8A1Z7hC)+VE+-NB3@,A3'D=TK(F9mtD+qu/fD54<:+
#@ LdV<+LdV<+LdV<+@NncBGYU^,Mkd|Gx5|,E3Gm:IXE8=+X<n#H8&Z)F3xm*E2<BbEh67ID(8
#@ x:I8s:E+lxmX?w:7hCMGPF+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTA4rjIDEmr_F'LQ3BtTRd
#@ DaCBq@oF|;@oKI9>mxoRA!.!eDmIBq@26sJDjNggC_ki:@>MMEFA7>hCD.fK/,HX/DQii-EA
#@ [email protected]+C/4iCm(fRAugs#?,-T!?6dV<+LdV<+LdV<+LdV<+LdV<+,iH
#@ 7Ax@5SAgki:@>MMEF1kd|G<r:[email protected]<+6&=JDT?+U,*tY8AYjd|GX(8s+mZ!iC
#@ 8vV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+82_`F(gI9>4/;EFNNm0DZt5hCM;
#@ ;s+mZ!iCuB;:+LdV<+LdV<+TX93I1D5)F!>,D+VaNgDfNSuG?gRF+:l:s+tiE+E2fbDFy_xQ
#@ H6dV<+LdV<+LdV<+LdV<+9MfCFkM<x6*kbD+cKxhCv_KZ?vwviCrimlB%gI9>lj|Z?mZ!iCS
#@ ;i@E/0fCFD7BoAg*;1C'o(*FQii-E)Uw%?J/bdBv4MTA1smIDv%hgC-HacEC!!mH@oATAaF|
#@ ;@Z7_QI0lVL9|B9W?`2^=+WafZEe!7ID=J8_4lr=D<#Gte:e`O6-Dal(FbgGSAu!cr@bvO:@
#@ n:&jArZMTAuB;:+LdV<+LdV<+LdV<+@NncB)K.C>3'8UAdh`p@w1cSA'<XeDO_'RHx`COA*O
#@ w%?1k_?+j_xQH6dV<+LdV<+LdV<+LdV<+LdV<+(c:*:9y-fD9)TkBv!aaEdUfq@rS#j@(Z5)
#@ F;G0bE',:eDswn=wLdV<+LdV<+LdV<+LdV<+LdV<+82_`FDc:s+8vV<+LdV<+LdV<+LdV<+>
#@ wO@wLdV<+LdV<+LdV<+LdV<+M96.:N/`P84_r`:8k::+LdV<+LdV<+LdV<+@NncBGYU^,@E0
#@ bE!dMTAb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+l'9q@i<;oAp?<eDs%vY?mxPSA=2qT,.+;1C
#@ oo2TAQE?wE;|k<[email protected]<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+1=
#@ ,D+JJ|s@!:_LCN?VTAMZ!iC9psZ4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK
#@ /*XxlBagbSA3l@lB@mZQH#k|Z?3DccE,&MEFF_'RHx`COAB'SF+VVmX?tiE+E2fbDFHRM`F.
#@ O<:+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+qd1kB&mSCFF4O
#@ <@ktWU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+Rm7#HMZ!iC1aH7A^gwhCi.aY?n?VTAHRM`F
#@ .O<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+1=,D+Y'KdEp#TbEj_c|G9psZ4c#
#@ P6-y^'RHn0Hr@Tx@CFFt5hCh/oW4,du4I<H<:+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE
#@ +C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RHx`COAB'SF+VVmX?tiE+E2
#@ fbDF1kd|GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,@E0bE!dMTAb`xQH6dV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+l'9q@i<;oA(Kt_F&.DTA/wJ9>lj|Z?mxPSAqK7IDuC#D+Rm7#H
#@ MZ!iC&D;:+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+Ld
#@ V<+IJcZE8JlcEvw/gC=xVE+Rm7#HMZ!iCD%3j@,(D&,svV<+LdV<+LdV<+>wO@wLdV<+LdV<
#@ +;`4hCtgbnA6uE'F/64eDd-!eDmIBq@26sJDu&MTAGx4#HMZ!iCi35SAh(fRA8@ME+IDvmB?
#@ 2qT,.+;1CNjkSAR->hA&aVTA?x+dBpjUkBv!aaEdUfq@iU!x4s85)FHj07-(pTZ?6uC`F(6n
#@ lBiU!x48w`TAx_U!?1k_?+k_xQH6dV<+LdV<+LdV<+rTdQ6hp^LC1=,D+njkSA/#BCFp#K(F
#@ Aq5DF+CQC+mZ!iC6dV<+LdV<+LdV<+1=,D+VaNgDfNSuG?gRF+:l:s+5R?r@/QsJD2Q0bEy_
#@ xQH6dV<+LdV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+uD+Rm7#HMZ!iCYwnW4,du4
#@ I<H<:+LdV<+LdV<+LdV<+LdV<+O*S9,t!(E+EK,T/ur/bEk'cOBfgggC!*7hCCsC9G)S#D+0
#@ lTKD%#V<+LdV<+LdV<+LdV<+LdV<+9nATAAl9KD:Zg6wLdV<+LdV<+LdV<+LdV<+>#V<+LdV
#@ <+LdV<+LdV<+O!r<+_c^V6Yb=/:ybOF;k;VL9bvV<+LdV<+LdV<+LdV<+1A`nAyq*RH'%HW@
#@ <Jx:IDn<@wLdV<+LdV<+LdV<+LdV<+LdV<+VVmX?wm<eD!l1`FmwRdDaCBq@U_'RHyl,,<CA
#@ x:I,ocRA<Wk<[email protected]<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+(d|7
#@ ApxBq@YhFtFja0;@u@#D+1Qo(F@wf*Ff++:@;<ylB('WTANNm0DZt5hC92wj@fEi'F*qbOB0
#@ j7lB>%DE+8w`TA>#V<+LdV<+LdV<+LdV<+9nATAAl9KDruwlBM2qT,qN<x62_E;I,ocRAw*=
#@ p>6dV<+LdV<+LdV<+DCZKA%@;kBGYU^,.+;1Coo2TA@8gFEKW8EF/,--E2fbDFy_xQH6dV<+
#@ LdV<+LdV<+LdV<+#6|K8)CQC+mZ!iC88'(F3Sk%G);qcB2!WTA!Si|D)CQC+sQs>wLdV<+Ld
#@ V<+LdV<+LdV<+7pZQH)G.>Hf44Y?vwviCrimlBCupT,qN<x62_E;InosZ4dpPF+<#V<+LdV<
#@ +LdV<+LdV<+LdV<+O!r<+mjNBD?+vJBnp,<@s!VkBrIbD+mZ!iC<8oTA*ZtfA.OZ8A6dV<+L
#@ dV<+LdV<+LdV<+LdV<+8JfCFB4uD+:;9:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV
#@ <+-;m|;|vQ)<X5y.:s1/779e::+LdV<+LdV<+LdV<+@NncBGYU^,@E0bE!dMTAb`xQH6dV<+
#@ LdV<+LdV<+LdV<+LdV<+l'9q@i<;oAp?<eDs%vY?mxPSA=2qT,.+;1Coo2TAD%3j@,(D&,P`
#@ NgDHQ:8@&KQ@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*Xxl
#@ BagbSA3l@lB@mZQH#k|Z?3DccE,&MEFF_'RHx`COAB'SF+VVmX?2'aaE4s@lBCxG<FAPx:I6
#@ dV<+LdV<+LdV<+LdV<+9MfCFELMEFimtD+h)fCFs&=JDS;i@E/0fCFD7BoAg*;1C'o(*FNNm
#@ 0DZt5hCXIfK/'ZPDF;o:s+qKDTA'<XeDw7p`DQDR6-72x;@5?tW+:V0#,svV<+LdV<+LdV<+
#@ LdV<+659:+LdV<+LdV<+LdV<+O*S9,blqJD2I-<@*utfA:J;EFGjd|Gl*Bq@&!NTA7O,D+m0
#@ Hr@!FV5B)fACF&#V<+LdV<+LdV<+LdV<+1A`nAyq*RHteCm9vwJlB?mRF+yEnT,M;wLDCqm#
#@ H,B>cE!v-<@hF|;@<gRF+:l:s+hEi'FrZ!iCy_xQH6dV<+LdV<+LdV<+LdV<+LdV<+9MfCFw
#@ sY8AYjd|Gi35SAqU,W@slSCFh<..Dk|2jB'E(yGjf<iCulSCF3+uD+aN!iCa-IIDEaVE+IDv
#@ mBH.fK/:A.#H`*7hCN+fK/tuACFGm6bEBrpT,KMMEFqaW;I1w#MA)6BfD6;)*Fu/4iCHYaH0
#@ xC;:+LdV<+LdV<+LdV<+LdV<+O*S9,VVmX?lj|Z?qu/fD-Cq-D=TK(F&QQ3BtTRdDaCBq@oF
#@ |;@8ZpT,KMMEFqaW;I8(8s+mZ!iCT?+U,*tY8AYjd|GX(8s+mZ!iC8vV<+LdV<+LdV<+LdV<
#@ +>wO@wLdV<+LdV<+LdV<+LdV<+82_`F(gI9>4/;EFNNm0DZt5hCM;;s+mZ!iCuB;:+LdV<+L
#@ dV<+TX93I1D5)F!>,D+VaNgDfNSuG?gRF+:l:s+tiE+E2fbDFy_xQH6dV<+LdV<+LdV<+LdV
#@ <+tfE37SGaJ:-*2B+Bl@M96dV<+LdV<+LdV<+LdV<+1=,D+|Kb'Gu`mdDJx5=I<H<:+LdV<+
#@ LdV<+LdV<+LdV<+:mui@y@YjBoO0Z?0lTKDaU,W@slSCFP`NgDfNSuG?gRF+#*7hCI:nT,.+
#@ ;1CNjkSA>#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+7pZQH;f%hCQU)r7J@1Y
#@ 62_E;I1k_?+j_xQH6dV<+LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@YhFtFja0;@u@#D+1Qo(F@
#@ wf*Ff++:@;<ylB('WTANNm0DZt5hC92wj@XqARA)6BfD8LVE+8w`TA>#V<+LdV<+LdV<+LdV
#@ <+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+
#@ ?NfCFuqCEF*'f^F?ZpT,qN<x62_E;Il'9q@joreDaF#4B'Y#D+8w`TA>#V<+LdV<+LdV<+Ld
#@ V<+>wO@wLdV<+LdV<+LdV<+LdV<+7pZQHC,ETAn9cr@/m|<I1k_?+ii07-DI|s@!:_LCN?VT
#@ AMZ!iC9psZ4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@
#@ mZQH#k|Z?3DccE,&MEFE;i@E/0fCFNNm0DZt5hC92wj@XqARA)6BfDv1^Z?Gw&F+8w`TA>#V
#@ <+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+VVmX?wm<eD1kd|G!BK(F#LQ3BtTRdDaCBq@oF|;@8ZpT,qN<x62_E;IsvV<+LdV<+Ld
#@ V<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+9nATAAl9KDruwl
#@ BM2qT,qN<x62_E;I,ocRAw*=p>6dV<+LdV<+LdV<+>N<:+LdV<+TX93I1D5)F,n<@wLdV<+L
#@ dV<+LdV<+7pZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7ENRF+<#V<+LdV<+LdV<+LdV
#@ <+1A`nAp%Bx3PkC&Gi9XU6L:F:6yfT=+C_A*1q_xQH6dV<+LdV<+LdV<+LdV<+LdV<+rTbOB
#@ L@+U,.+;1Coo2TA^_'RHV642:(8x:IsQ,8A>%lDF/#BCFn5B3@C=j|DaCBq@HXrfA<1OBDuC
#@ #D+0g!iC&E*+E2tU&,3th?+VVmX?l'uSAk.jY?moe^FDRm,E5#V<+LdV<+LdV<+LdV<+>wO@
#@ wLdV<+LdV<+LdV<+>#V<+LdV<+LdV<+9nATAAl9KD:Zg6wLdV<+LdV<+>#V<+>wO@wLdV<+e
#@ pCkBo0m*EpvnX?3DccE,&MEF(i#j@b!RdDaCBq@kCbOBuIYD+/^,8Ab'mdDL=fK/fCT7A^Z:
#@ 4wLdV<+HIrt5)Mr`:&qA@+7bSB;aLJ@+^FZD<%haO8iT/p5jQ/p5gXMy=,Sja;T01V6yG=/:
#@ ivV<+D-<cEu&B3@=G.#H!BK(F*tfq@26sJDAYYpAU6y1:uC#D+kc`W7U6y1:uC#D+3'8UAo@
#@ .#H-QDs@vqoRA9>2'D'vq_E'<0bES9pjA3;2EF-QDs@vqoRA6<XKA4Qk_FM)!QA'.lSADn<@
#@ wLdV<+LdV<+q'-W@-:,!F>WT,E6LUZ?0g_5Bl4sY?njkSA6dV<+LdV<+6,aaEegpgC-2fTA.
#@ NP)F&#V<+LdV<+9bN<@ktWU@0qeZEp#TbEj_c|G6dV<+LdV<+6,aaEegpgC/y-fDUPAq@X3/
#@ k99@<:+LdV<+Mn%sFja0;@u@#D+m0Hr@Tx@CF&#V<+LdV<+9bN<@ktWU@0qeZE6AccEZ>FiC
#@ ^^m=wLdV<+LdV<+*XxlBagbSA@&lo@3QBfD-ZbDF6dV<+LdV<+6,aaEegpgC!TvhCQU)r7J@
#@ 1Y6iNa>wLdV<+LdV<+*XxlBagbSAGjd|GMT<eD24<:+LdV<+Mn%sFja0;@u@#D+WG!QA06C?
#@ wLdV<+LdV<+*XxlBagbSAGjd|GIon^Fw@VTA6dV<+LdV<+6,aaEegpgC9R8EF/,--E2fbDFi
#@ ?n(Fh^m=wLdV<+LdV<+*XxlBagbSAwsY8A.w=JDjY`hB'h|7A6dV<+LdV<+6,aaEegpgC>*k
#@ #HT)`hB'h|7Au!V<+LdV<+:tJTAHR8EF/,--E2fbDFi?n(F)&oC+FvV<+LdV<+:tJTA4rjID
#@ ws@lBoDkl9,pMTA5T<8wLdV<+LdV<+91VE+5R?r@i%u)F;naH06dV<+LdV<+<kN<@ktWU@+D
#@ 3'D=TK(F@=Bq@`^m=w6dV<+LdV<+=(KTAC7r_F`gZZ6hp^LC1jZQH>#V<+LdV<+659:+LdV<
#@ +O*S9,%ALEFFaVE+m'cSA19?SACU/*F;pC9G.;)*FE?)E+1-=JD=APQBwosdD?AccEwfbDF7
#@ 5:JD)MtfAfwv>wLdV<+LdV<+<MfCFELMEFjiZQH(I8:+LdV<+@NncBGYU^,KMMEFM'cSANjk
#@ SA=WrSAXjK3I<H<:+LdV<+LdV<+@NncBC5>F+`ZACF3!xQH*dmIDP`NgDe2!hBMB:8@<gRF+
#@ PIj|Dcl^4ARqtW4d3:3I<H<:+LdV<+LdV<+LdV<+NvA9G,XVTAgr*eDMu:s+MliJD?.j|D0b
#@ tD+PU2`[email protected]'nlB#,j6wLdV<+LdV<+LdV<+LdV<+82_`FOqeK/h^oR
#@ A6_PcEG,qT,KMMEFVGQ@wLdV<+LdV<+LdV<+>#V<+LdV<+LdV<+:tJTA4u'eDkv;EFCT<8wL
#@ dV<+LdV<+LdV<+'lm_E8LVE+wF?r@26sJDvQ5DF;ovlB#4?<@26sJD4P5cEGjd|G+T*+E&FV
#@ 5B9mtD+(J::+LdV<+LdV<+@NncBGYU^,M;wLDCqm#H,B>cE!v-<@hF|;@<gRF+:l:s+fEi'F
#@ rZ!iCy_xQH6dV<+LdV<+LdV<+LdV<+FOMEF.cA!=vs7lBQ.F?+l/=JD=6Y.Bv-0bEf=+Y?+o
#@ G)F(%ljBtX|mA'A#D+4sRQB9MfCFV(9q@!BK(F*tfq@26sJD6u+lB0:VE+wo1*F4%xfD%Ztf
#@ A23TCFt!^;@:b!wH/f!/D*9BCF3+uD+u@oC+6#;EF*wTCF1oG)F*3)5B7%OBD2c|N@1h?fD)
#@ [email protected]<F-l'+EBd.F+,HX/D'HmIDw3BCFqVtfA)SY%,6dV<+LdV<+LdV<+>N<:+LdV<+TX9
#@ 3I1D5)F,n<@wLdV<+LdV<+LdV<+91VE+WG!QAFRDE+GvV<+LdV<+>wO@w6dV<+LdV<+0ViZ<
#@ ja0;@u@#D+4CDE+aOA@+mT<eD24<:+LdV<+JS)!F3q3'DerpjA3;2EFNNm0D'vq_E'<0bES9
#@ pjA3;2EF(I8:+LdV<+O*S9,RF=SAw2qcBqolRA)x*JD>6ME+*dmIDjX;:+LdV<+@NncBGYU^
#@ ,M;wLDCqm#H,B>cE!v-<@hF|;@<gRF+:l:s+fEi'FrZ!iCy_xQH6dV<+LdV<+LdV<+1=,D+*
#@ LdlB,7DoAjf<iCulSCF3+uD+h)fCFhlJ(F)WG)FUuA`FlX'w?nosZ4dpPF+<#V<+LdV<+LdV
#@ <+LdV<+GH8`FKr:s+ekTfDB54w<q?DTA>6ME+.f>0AuE)5B:kQ,EiX|7AF@ip6)0NF;>ri8.
#@ 8vV<+LdV<+LdV<+LdV<+9nATAAl9KDFe9:+LdV<+LdV<+TX93I6dV<+LdV<+DCZKA1V#D+<#
#@ V<+LdV<+LdV<+1A`nA6uE'F/64eDd-!eDmIBq@26sJDi35SA'j&fDvLcSAS;i@E/0fCF9wnW
#@ 4,du4I<H<:+LdV<+LdV<+LdV<+G8-wEIXME+mSOcE|OF?+`o/bE.bl(F?-)E+ridlB1qi|Du
#@ 'uSAf'XR6pkg47g1UG;A;9:+LdV<+LdV<+LdV<+IJcZE8JlcE?T<8wLdV<+LdV<+LdV<+>#V
#@ <+LdV<+>wO@w6dV<+LdV<+1=,D+%=<JD'oYKA@/;EF2osdD*jxhCd0mIDagbSAwDm#Hjdp-D
#@ *e|mAp7ap@n>g9I<H<:+LdV<+LdV<+><8-Bk.F:@+osdD*jxhCd0mIDagbSAwDm#Hjdp-D*e
#@ |mAp7ap@hU;:+LdV<+TX93I6dV<+LdV<+/&seDqIbD+-Lc8A#e|Z?8ik)Frcs>wLdV<+LdV<
#@ +n-6<@_%+Y?vDsp@&#V<+LdV<+5(^aE9C.F+91VE+_js?H|)!=I6dV<+LdV<+9MfCFv^,8Ac
#@ F'Z?*XxlBagbSA<ZE`26dV<+LdV<+rTdQ6hp^LC1=,D+?r5DFn5B3@%WtfA'A#D+1lo(F5WB
#@ 3@+8m`Ct|A(F?<VE+1(<:+LdV<+JS)!F*u>0A#ATC+:;9:+LdV<+@NncBC5>F+cKxhC/v&yG
#@ ;J)*FGdYpAU6y1:(8x:I<APF+<#V<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@m
#@ ZQHh-ur@Cwf*F1^cr@F_'RHm'cSANjkSAN>R@wLdV<+LdV<+LdV<+7pZQHf*Z4B:R2E+qTOc
#@ EK9SF+In0fD6Jx:IB`xQH6dV<+LdV<+LdV<+LdV<+1=,D+%=<JD'oYKA@/;EF)Cy|3>KB!.K
#@ 4IU?B,0C<l9e9I<H<:+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,ijkIDGAip6MK:77)Bx7.1k_
#@ ?+(1QF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+GH8`FM/qT,9m@lB,KQ@wLdV<+LdV<+LdV<+
#@ LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+DP)*Fp/_^EZ.|c3i5
#@ J,E<2ufA'A#D+.)QcE6mVTA2(3cEE%UfD(`tfA'%U;@!(XR6pkg47g1UG;A;9:+LdV<+LdV<
#@ +LdV<+IJcZE8JlcE?T<8wLdV<+LdV<+LdV<+>#V<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+G
#@ 8-wEIXME+mSOcE|OF?+i4;`F0KAkB,MNBD1ZB3@kiJ(Fv+qgC3(3cE%*)TAIs1=F32=mBQV3
#@ m-noMO/Z(tJ:sog6wLdV<+LdV<+LdV<+82_`FR7bH06dV<+LdV<+(2;4wLdV<+LdV<+em<LB
#@ uIYD+m'cSA-#sJD3ZbDF32=mB1<L?wLdV<+LdV<+7pZQHmSPcE54OBDs2K(FZfj?H<50C+AM
#@ `H0Dn<@wLdV<+LdV<+LdV<+DP)*Fp/_^EZ.|c3i5J,E<2ufA'A#D+#9K(FKuSE+,#cDF%ntD
#@ +^XXA+wm<eD,wBCF3+uD+7GqcB=bl(F//B,EWe3m-noMO/Z(tJ:sog6wLdV<+LdV<+LdV<+8
#@ 2_`FR7bH06dV<+LdV<+>N<:+LdV<+Le_WF2NfCFv^,8AcF'Z?*XxlBagbSA_G8:+LdV<+:mu
#@ i@fEi'F?u>cEv:UZ?0ot)FYj!>wLdV<+LdV<+cXIOAo3uSA6qCEF*'f^F9+ME+4CDE+2'aaE
#@ 4s@lBBXME+u@oC+tiE+E2fbDF4#V<+LdV<+1A`nAyq*RHAi0fDGhV*F(fTfDtH..Dh<..D4D
#@ ZKA;@/gAjNIQB,(D&,Dn<@wLdV<+LdV<+LdV<+epCkBt|J^F!_KZ?3DccE,&MEFHVe=F;M#D
#@ +w6=iC!VtD+*FK;@6EK(F0'gRA5t/wEJL9<I>Yx;@v?#j@fEi'F3f1EF.w=JDQulCDwLm*E+
#@ <elB2pmIDi'T9,keBEF*'f^F:.G<F*-R;@=7,!F3f1EF.w=JDC+j|D)CQC+aOA@+%xRQB6dV
#@ <+LdV<+LdV<+qK>nAh++Y?7<0bEuqCEF*'f^FHVeK/:p2TA-)9fDm*IID>%#hCwpMTA>>|s@
#@ *kbD+=;2EFZulCDuC#D+VVmX?tiE+E2fbDFL-.fDo!2TA9|o(F3ZbDFj!r<+hCccE,&MEF5+
#@ dfD-f`aE+rjIDws@lBBXME+#0B3@a9XU62,BCF)#V<+LdV<+LdV<++olRAo3uSAw!NTAsNAC
#@ F)kKV@;Y#D+WOZ-E#ijdDn7a:@@gAk@+ct)F@BxQHmZ!iCZulCDuC#D+VVmX?tiE+E2fbDF1
#@ kd|GL-.fDo!2TA9|o(F3ZbDFj!r<+hCccE,&MEF5+dfD-f`aE+rjIDws@lB2l#j@(Z5)F*a'
#@ ;@4WrSA*+G<F'D3'Dpli'F!umX?c.XU@qT/CF(Kt_F:;?CF)kKV@%=v>wLdV<+LdV<+LdV<+
#@ epCkBt|J^F!_KZ?3DccE,&MEFHVe=F;M#D+w6=iC!VtD+*FK;@6EK(F0'gRAB'SF+6<..Dn`
#@ H7A&>m#H#sJbE88.>HF(fK/ve'Z?2ik)F-!WTA_xO?+o#q?F)kKV@;Y#D+=b3'D+vZD+5R?r
#@ @/QsJD2Q0bE4#V<+LdV<+LdV<++olRAo3uSAw!NTAsNACF)kKV@;Y#D+WOZ-E#ijdDn7a:@@
#@ gAk@+ct)F@BxQHmZ!iCZulCDuC#D+VVmX?n0Hr@_qr:@HVeK/ve'Z?2ik)F-!WTA_xO?+o#q
#@ ?F)kKV@;Y#D+=b3'D+vZD+mZ!iC<APQB#ZB3@'?#j@!:_LC)fACFw1<:+LdV<+LdV<+;!<0A
#@ o|<JDwi1*Fd%+:@;<ylB('WTAyVt#Hw6|N@':cSA>qeK/pl&(F8+ME+'+7hCXIfK/njkSAl'
#@ 9q@1kd|GL-.fDo!2TA9|o(F3ZbDFj!r<+hCccE,&MEF5+dfD-f`aE:7r_F=+ME+)jACF%a#S
#@ A4+dfD)v#D+w(?<@mX|7A6sLE++1cOB6dV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+O!r<+S
#@ jkSA6sLE+iQ<eD&6|N@<2ufAgM-m6YvV<+LdV<+LdV<++olRAo3uSAw!NTAsNACF)kKV@;Y#
#@ D+WOZ-E#ijdDn7a:@@gAk@+ct)F@BxQHmZ!iC>n5cEA2wj@fEi'F3f1EF.w=JD5#V<+LdV<+
#@ LdV<++olRAo3uSAw!NTAsNACF)kKV@;Y#D+WOZ-E#ijdDn7a:@@gAk@+ct)F@BxQHmZ!iC>n
#@ 5cEA2wj@XqARA)6BfDv0U?wLdV<+LdV<+LdV<+epCkBt|J^F!_KZ?3DccE,&MEFHVe=F;M#D
#@ +w6=iC!VtD+*FK;@6EK(F0'gRAB'SF+VVmX?tiE+E2fbDF1kd|G6dV<+LdV<+LdV<+qK>nAh
#@ ++Y?7<0bEuqCEF*'f^FHVeK/:p2TA-)9fDm*IID>%#hCwpMTA>>|s@2_E;Il'9q@1;wLDwNT
#@ fD.'8UA3#V<+LdV<+LdV<++olRAo3uSAw!NTAsNACF)kKV@;Y#D+WOZ-E#ijdDn7a:@@gAk@
#@ +ct)F@BxQHmZ!iC1aH7A^gwhCi.aY?n?VTA6dV<+LdV<+LdV<+qK>nAh++Y?7<0bEuqCEF*'
#@ f^FHVeK/:p2TA-)9fDm*IID>%#hCwpMTA>>|s@2_E;Il'9q@1kd|G6dV<+LdV<+(2;4wLdV<
#@ +LdV<+lQ,+DJ'/F+sB-<@Fy1mB<.,!F2^DTA(oATA:<ylB'<XeD-1<:+LdV<+:mui@XqARAB
#@ vvwH!BK(Fs%+:@6`t!Hu!V<+LdV<+:tJTA8oATAg'q#6-a%lB%;..Bk.F:@b!`aE2#/gC%.(
#@ w?6dV<+LdV<+9MfCF7AK(FdMy'EvtGSA*oSkBh?mID2#/gC%.(w?6dV<+LdV<+9MfCF7AK(F
#@ dMy'EvtGSA)u=.D*AG9>4/;EFsvV<+LdV<+:tJTA8oATAg'q#6-a%lB&DIIBc=oRAOyO8A2#
#@ /gC%.(w?u!V<+LdV<+1A`nAyq*RHWG!QANF'<I1k_?+j_xQH6dV<+LdV<+LdV<+FOMEFT_'R
#@ Hn)|T8E;;s+M3gL9@!2L9+>+F;:w;L9;Di6wLdV<+LdV<+(I8:+LdV<+O*S9,._0(<w8=J:f
#@ '>A+V4-)<btTb;6dV<+LdV<+1=,D+Z0f*F>WT,E6LUZ?0g_5Bl4sY?njkSA@8gFE-oHq@l-?
#@ SADKN3I<H<:+LdV<+LdV<+O*S9,VLy;@u@#D+Gw&F+&6|,E+S5D+4CDE+5R?r@(rjIDagbSA
#@ 4&=JD4#V<+LdV<+LdV<+1A`nA*^T3Bf++:@&IRdD4<XeDq!Q<@3y#D+6<..D1kQ,EQar_FJ_
#@ :JDi^KZ?1^-x?jF9Z?r^oRARqtW4esPF+<#V<+LdV<+LdV<+LdV<+1A`nAyq*RHWG!QANF'<
#@ I1k_?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<+*Q*+EtsY8A7AK(FdMy'EvtGSA*oSkBh?mIDU
#@ _;!Ff++:@&IRdD4<XeDP+fK/[email protected]|G8<;oAp<q-D1Oq-Dj6q-D%)#D+=KhgCM%(
#@ d08vV<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@mZQH#k|Z?ms9Z?
#@ 4<nlB=FME+6<..D1kQ,EQar_FJ_:JDi^KZ?1^-x?jF9Z?r^oRAF4O<@3y#D+ON<:+LdV<+Ld
#@ V<+LdV<+LdV<+Cij`CsT2TA1neZE6AccEZ>FiC'nHt-q'[email protected]@T=8s+#k|Z?ms9Z?4<nl
#@ B=FME+6<..D*uJTA'U*+E?`R.D!D+Y?(Q5DFp#K(FF4O<@3y#D+8w`TA8vV<+LdV<+LdV<+L
#@ dV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@mZQH#k|Z?ms9Z?4<nlB=FME+6<..D*uJ
#@ TA'U*+E?`R.D!D+Y?(Q5DFp#K(FF4O<@3y#D+8w`TA>#V<+LdV<+LdV<+LdV<+T@PF+!xMTA
#@ <H<:+LdV<+LdV<+LdV<+LdV<+G8-wEIXME+PU2`FkoP:I<%KTAq**+E&*T,E26sJDPulCDuC
#@ #D+.-j/D><;yE/5M&Gd*IhCu-cOBG+fK/0Kk_F8F`cE(&j6wLdV<+LdV<+LdV<+LdV<+>#V<
#@ +LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+g'agBogpgC.DblB;Vi|[email protected]+t!MkB6?0bE4&O+Ef
#@ vO:@26sJD6dV<+LdV<+LdV<+1=,D+o45SAq**+E&*T,E26sJDoKhgCIVeK/njkSA,oW/Dv(c
#@ SAD2jFE96Yo/+sEiCnZACFmWdlB%(RdD*I6x?t!MkB6?0bEv9YKAIiV<F;nY%,Dn<@wLdV<+
#@ LdV<+LdV<+LdV<+7pZQH?2+eDkv;EFn/oW4-j)5I<H<:+LdV<+LdV<+LdV<+LdV<+:mui@y@
#@ YjBoO0Z?q'-W@y%ap@k-V5B*hQ3@-a%lBncmlB9qi|D4<XeDI(8s+s85)F@(8s+'NB,E5jJn
#@ /.-j/D><;yEva%lBj?VTA-G.>HnlrJD.*OaEu8o(F6ik)F8vV<+LdV<+LdV<+LdV<+LdV<+/
#@ &I;@+dmID(oATAg'q#6-a%lB%;..Bk.F:@b!`aEU_;!Ff++:@&IRdD4<XeDP+fK/njkSA,oW
#@ /Dv(cSAD2jFE96Yo/+sEiCnZACFmWdlB%(RdD*I6x?t!MkB6?0bEXhFtFtf_TAuoggC&&j6w
#@ LdV<+LdV<+LdV<+LdV<+LdV<+#k|Z?ms9Z?4<nlB=FME+6<..DwosdD*jxhC8JKTA'U*+EB&
#@ O+EfvO:@#Li'FtO+Y?:A.#Hj*Z4B-!WTAT+fK/0Kk_FnWg:@p9v>wLdV<+LdV<+LdV<+LdV<
#@ +;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+FOMEFT_'RHn)|T8E;;s+#k|Z?ms9Z?4<nlB=
#@ FME+6<..DwosdD*jxhC8JKTA'U*+EB&O+EfvO:@#Li'FtO+Y?:A.#Hj*Z4B-!WTAT+fK/0Kk
#@ _FnWg:@3hY%,6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+1=,D+
#@ o45SAq**+E&*T,E26sJDoKhgCIVeK/njkSA,oW/Dv(cSAD2jFE96Yo/u5seD+^mlBmsGnAt?
#@ <eDk:K;@qR>SAv9YKAIiV<F;nY%,ZPJF+h)fCFm5|,E*/uDFrd'Z?0Kk_FBulCDuC#D+'NB,
#@ E5jJn/.-j/D-Ml4@6;hAGn6HSA&wT(FsP5cEj*Z4B-!WTA/&0C+:l:s+8w`TAy_xQH6dV<+L
#@ dV<+LdV<+LdV<+1=,D+KS#:Af6J(FP9SF+BV&d0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+%6|
#@ ,EwAoC+6#;EF`*y,EkI|7A7&seDR2ub<>>V=+;MfCFm5|,E*/uDF1oG)F>Yx;@v?#j@-pIlB
#@ ?wVvFq!U;@8regC)Fwx?)X3eDkgTZ?s'LZ?q-ur@-+ME+=KhgC-ZtfArZMTA8vV<+LdV<+Ld
#@ V<+LdV<+LdV<+:tJTAq**+E&*T,E26sJDPulCDuC#D+'NB,E5jJn/.-j/D-Ml4@6;hAGn6HS
#@ A&wT(FsP5cEmuACFp#K(FF4O<@3y#D+s85)F&#V<+LdV<+LdV<+LdV<+LdV<+)`H7AfH<JDh
#@ R#4Bk.F:@cCbOBhm5SA5q'+Euafq@%<XeD:kQ,E26sJDA?/gArZMTA>w3j@-pIlB?wVvFq!U
#@ ;@8regC)Fwx?)X3eDkgTZ?s'LZ?q-ur@/=.',6dV<+LdV<+LdV<+LdV<+LdV<+*Q*+EtsY8A
#@ 7AK(FdMy'EvtGSA,/GhCkdggCti8<.<%KTAq**+E&*T,E26sJDPulCDuC#D+'NB,E5jJn/.-
#@ j/D-Ml4@6;hAGn6HSA&wT(FsP5cEj*Z4B-!WTAT+fK/0Kk_FnWg:@3hY%,6dV<+LdV<+LdV<
#@ +LdV<+LdV<+9MfCFm5|,E*/uDF1oG)F>Yx;@v?#j@-pIlB?wVvFq!U;@8regC)Fwx?)X3eDk
#@ gTZ?s'LZ?t!MkB6?0bEXhFtFtf_TAuoggCwy;:+LdV<+LdV<+LdV<+LdV<+:mui@y@YjBoO0
#@ Z?q'-W@y%ap@k-V5B*hQ3@-a%lBncmlB9qi|D4<XeDI(8s+s85)F@(8s+'NB,E5jJn/.-j/D
#@ -Ml4@6;hAGn6HSA&wT(FsP5cEj*Z4B-!WTA3Pj6wLdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6d
#@ V<+LdV<+LdV<+LdV<+LdV<+FOMEFT_'RHn)|T8E;;s+#k|Z?ms9Z?4<nlB=FME+6<..Dwosd
#@ D*jxhC8JKTA'U*+E4N!iC160CFp6DoAkU|mAw4VgCm-cOBG+fK/0Kk_FnWg:@3hY%,6dV<+L
#@ dV<+LdV<+LdV<+LdV<+FOMEFT_'RHn)|T8E;;s+#k|Z?ms9Z?4<nlB=FME+6<..DwosdD*jx
#@ hC8JKTA'U*+E4N!iC160CFp6DoAkU|mA*jNaEu8o(F6ik)FF4O<@3y#D+s85)F(<9:+LdV<+
#@ LdV<+LdV<+TX93I6dV<+LdV<+LdV<+>N<:+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+g'
#@ agBogpgC.DblB;Vi|[email protected]+t!MkB6?0bE4&O+EfvO:@26sJD6dV<+LdV<+LdV<+1=,D+KS#:
#@ Af6J(FP9SF+BV&d0Dn<@wLdV<+LdV<+LdV<+LdV<+7pZQHh)fCFm5|,Eu,aaE,oW/Dv(cSAj
#@ *Z4B-!WTAu-DTAuafq@%<XeDm3*eD-G.>HnlrJD/80C+:l:s+8w`TAy_xQH6dV<+LdV<+LdV
#@ <+LdV<+LdV<+*Q*+EtsY8A7AK(FdMy'EvtGSA,/GhCkdggCti8<.<%KTAq**+Es!Q<@1osdD
#@ *jxhCh-DTA//;EFuiNaEva%lBncmlBm4'7AvXdlB%([email protected]+s85)F(<9:+LdV<+LdV<+Ld
#@ V<+LdV<+:mui@y@YjBoO0Z?q'-W@y%ap@k-V5B?&8sFja0;@vSY%,+|g:@3hY%,6tsdD*jxh
#@ Ch-DTA//;EFuiNaEva%lBncmlBm4'7AvXdlB%(RdDB!e',6vV<+LdV<+LdV<+LdV<+LdV<+:
#@ tJTAq**+Es!Q<@1osdD*jxhCh-DTA//;EFuiNaEva%lBncmlBm4'7AvXdlB%([email protected]+s85
#@ )F&#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+7pZQHh)fCFm5|,Eu,aaE!X|;@
#@ _%+Y?iO';@g7|7A(/_vHmvO:@%Kt_F#qKV@k4FU@pTqkBtV/C+:l:s+s85)FFGPF+<#V<+Ld
#@ V<+LdV<+LdV<+LdV<+/&I;@+dmID(oATAg'q#6-a%lB%;..Bk.F:@b!`aEU_;!Ff++:@-3fw
#@ G%#ZKAjgpgCd0mIDg^>r@e-m*E5QelBy.jY?:)QDFu25DF|!IID!FV5BHRM`F(<9:+LdV<+L
#@ dV<+LdV<+LdV<+:mui@y@YjBoO0Z?q'-W@y%ap@k-V5B?&8sFja0;@vSY%,JK`cE>Bk<+#X|
#@ ;@_%+Y?iO';@g7|7A(/_vHmvO:@%Kt_F#qKV@k4FU@pTqkB#,j6wLdV<+LdV<+LdV<+LdV<+
#@ LdV<+#k|Z?ms9Z?6nCE+c.XU@bvBRAr3IIDX_!Y?<GV*FfgggC%TsiCudtjBcSE:@(m<JD:F
#@ `cE&y;:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+rTdQ6hp^LC-l!mB!E>0A'Y#D
#@ +4CDE+'NB,EmpKV@*Q5DFFy1mB6M3'DG(4'DvwJlB(`tfA'&_`F-upcB7&WU66dV<+LdV<+L
#@ dV<+LdV<+*Q*+EtsY8A7AK(FdMy'EvtGSA*oSkBh?mIDU_;!F)l#j@-pIlBbvBRAjgpgCeE&
#@ (F!umX?1^-x?s'LZ?lqeC+8w`TA8vV<+LdV<+LdV<+LdV<+:tJTA3osdD*jxhCd0mIDagbSA
#@ wDm#Hjdp-D*e|mAp7ap@((G<F#@v>wLdV<+LdV<+LdV<+>#V<+LdV<+>wO@w6dV<+LdV<+1=
#@ ,D+KS#:Af6J(FP9SF+AM`H0Dn<@wLdV<+LdV<+LdV<+DP)*FP`NgDcl^4A=;tT>vmUE:6UaU
#@ 69KAf:9s,S7f`eQ6fOZ)<L`x.:JDi6wLdV<+LdV<+(I8:+LdV<+JS)!F:xG<F(FhgCPNR.D2
#@ ;)*Fu;!iC&K#DFfjX9@6`t!H)<..D?9@6H|x=^GE':4wLdV<+LdV<+91VE+%xRQBia5D+a0:
#@ oA'%U;@26sJD1jkaD4AoC+O=gfDSCQ;7p!lSAJL0<I2,BCF1JqcB7APQB!KAkBt%j6w6dV<+
#@ LdV<+1=,D+Z0f*F>WT,E6LUZ?0g_5Bl4sY?njkSA@8gFE-oHq@l-?SADKN3I<H<:+LdV<+Ld
#@ V<+@NncBGYU^,Mkd|GMT<eDP?SF+:l:s+(FuSAy_xQH6dV<+LdV<+LdV<+LdV<+FOMEF.cA!
#@ =vs7lBQ.F?+l/=JD1y-fDGjd|GsTGnABXVE+tUtD+0jVTAwh0;@>d.F+u5seD7lFhCu-cOB.
#@ JqcB*TvhC*JYD+npT;@'Z#j@?8u)F+S5D+%xRQBu'XR6-dQv=,cE8.8vV<+LdV<+LdV<+>wO
#@ @wLdV<+LdV<+LdV<+91VE+%xRQBia5D+Sii-EWWyk9DmRF+70=JDH_'RHlri<7%Q5cEK74'D
#@ JC0wE'FMTA5?BCF3+uD+mT<eDPV3m-noMO/N)yI:A;9:+LdV<+TX93I1D5)F,n<@wLdV<+Ld
#@ V<+LdV<+7pZQHNIu_FVJFnAJL9<I8s:E+:DsJDFGPF+<#V<+LdV<+LdV<+LdV<+GH8`FKr:s
#@ +e/9KDE>Nb3s8dlB0SNBD>7r_F0*<nA3;2EFt#IID@+lDF(6nlB*;.#H&N!iC:D;-BwFur@-
#@ +ME+'Z#j@!:_LC-rW/D%ntD+/)Q)F)FV5B2,BCFES3m-noMO/ZAX/:A;9:+LdV<+LdV<+TX9
#@ 3I6dV<+LdV<+LdV<+9MfCF2,BCFyd-6BXd'RH%xRQBqUm:IF@ip6)wx.:W;6t+6dV<+LdV<+
#@ (2;4wLdV<+LdV<+DP)*FQii-EWWyk9.QQ@wLdV<+LdV<+3aMTA2?m;@i=p%FgH8:+LdV<+JS
#@ )!F<m(sF+<elBQIC*1=R(f0i7=8w6dV<+LdV<+1=,D+%=<JD'oYKA@/;EF)Cy|3>KB!.K4IU
#@ ?x>y.:pb397_JvJ:s9e9I<H<:+LdV<+LdV<+@NncBGYU^,ijkIDGAip6f^^G;lc9W?b%6c;1
#@ ZE8.1k_?+k_xQH6dV<+LdV<+LdV<+LdV<+FOMEFu9oK8-Q5cE#dmlBYCF?+l/=JD0)ip6w_'
#@ RH8ik)F;tW;I:D0bE16sJD8vV<+LdV<+LdV<+>wO@wLdV<+LdV<+(I8:+LdV<+O*S9,mYaDF
#@ s*^;@vplRA+h5D+m'cSA!6*+Ee?xhC#7HSA9Vu^Fs^|7A8ebDF+KAkBpcK?wLdV<+LdV<+7p
#@ ZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7ENRF+<#V<+LdV<+LdV<+1A`nAp%Bx3PkC&
#@ Gi9XU6L:F:6yfT=+C_A*1q%d!I/Ny|3>KB!.K4IU?B,0C<D1lW4E-/B3ZPJF+tjDNAq+xR6L
#@ ;is6El_o7:osZ4m6QF+<#V<+LdV<+LdV<+LdV<+1A`nAyq*RHAi0fDGhV*F(fTfDtH..Dh<.
#@ .D4DZKA;@/gAjNIQB,(D&,Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQHi6bOB_.aY?jFfRA
#@ 3V#D+&AcDF`+JOAh:yv?Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DP)*Fp#lFD,Qs/D%<
#@ XeD.O+m6pZ@6B-<c8A)oATA9ZTbE&WB3@m''V@5Am`Cl<mID&&j6wLdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+)LVTAiPgQ6L;is6El_o7Fe9:+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+L
#@ dV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+1A`nA9>S9>`=Bq@l-%lB!QG)F.&W
#@ U6o9F:6C?f9I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+G8-wEIXME+a0:oA'%U;@26sJD%YIOA
#@ +h5D+r^oRA5/jFE-LDTA6CQC+moe^F)ovlB!QG)F(<9:+LdV<+LdV<+LdV<+LdV<+LdV<+JS
#@ )!FwpmID?)ip6MK:77uEf@+FvV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<
#@ +>#V<+LdV<+LdV<+LdV<+GH8`FKr:s+noMO/B,0C<m=O?<)FV5Bm''V@5Am`Cwd7hC/Ny|3>
#@ KB!.K4IU?B,0C<hog6wLdV<+LdV<+LdV<+LdV<+lWNeDXr!j@Wh&7ApZ@6BsAK,EVH;yEaxO
#@ ?+'lm_E8LVE+EaVE+!x!eD#FV5B#j>r@GMuDFqVtfA'A#D+,HX/DP0B@+pGe6B+ct)F6dV<+
#@ LdV<+LdV<+LdV<+rTbOB)Z&x<+<elBCupT,@E0bE16sJDt%2s4l'9q@fHmIDq?hgCW('V@I!
#@ ;yEaxO?+'lm_E8LVE+EaVE+l'uSA,JbD+moe^F&QiSA&k54Bw1<:+LdV<+LdV<+TX93I6dV<
#@ +LdV<+(2;4wLdV<+LdV<+91VE+,?0bE1L84wLdV<+LdV<+potaD7uhTA:eD%G=!/F+12ccE=
#@ 5:JD.0?SA(KxhC&#V<+LdV<+7+:eDhqrp@()^aE#(6x?njkSAp/`aE9C.F+njkSASTUwHAxL
#@ w?<H<:+LdV<+LdV<+JS)!F+)ZKAEve#HY_UwHa&U!.6SPcEtZ7ID)@m:.6dV<+LdV<+LdV<+
#@ 1=,D+%=<JD'oYKA@/;EF)Cy|3>KB!.K4IU?B,0C<l9e9I<H<:+LdV<+LdV<+LdV<+@NncBGY
#@ U^,ijkIDGAip6MK:77)Bx7.1k_?+(1QF+<#V<+LdV<+LdV<+LdV<+LdV<+*c?q@=#qT,_js?
#@ HG7d3@6`t!Hh<..Dc:2s4G`H7AX.oRAjL=Y?45;`FQRm,E5#V<+LdV<+LdV<+LdV<+>wO@wL
#@ dV<+LdV<+LdV<+LdV<+7pZQHtjDNAq+xR6L;is6El_o7:osZ4m6QF+<#V<+LdV<+LdV<+LdV
#@ <+LdV<+*c?q@=#qT,_js?HG7d3@6`t!Hh<..Dc:2s4G`H7AX.oRAjL=Y?45;`FQRm,E5#V<+
#@ LdV<+LdV<+LdV<+LdV<+4nsdD13=JD&y;:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+Ld
#@ V<+1=,D+5Oy|3>KB!.K4IU?B,0C<D1lW4E-/B3Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+DP)*
#@ FL-.fD.H0jC)G#D+L*VmB@n&<IELMEF7O,D+O=gfDSCQ;7p!lSAJL0<IqLj6wLdV<+LdV<+L
#@ dV<+LdV<+LdV<+2;2*F<R8EF4MMEF6dV<+LdV<+LdV<+LdV<+LdV<+'!?r@<k2DF#.<:+LdV
#@ <+LdV<+LdV<+LdV<+@NncBGYU^,5XVTAn/oW4s^'RH0F?<@OeaSA,ZbDFZZSF+<#V<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+GH8`FKr:s+m@j6wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+Ld
#@ V<+LdV<+>wO@wLdV<+LdV<+LdV<+(I8:+LdV<+LdV<+JS)!F_S-m6coW/D*FuSATIbH0u!V<
#@ +LdV<+LdV<+:tJTA0TvhCQU)r7J@1Y6*kbD+0H8:+LdV<+LdV<+JS)!F:xG<Fxi4Q9`BdZ68
#@ [email protected]+6dV<+LdV<+LdV<+9MfCF/#BCF/Q:s+8vV<+LdV<+LdV<+:tJTA3osdD&=cSAd'4
#@ DFN.Js+6dV<+LdV<+LdV<+9MfCFwsY8AyMB,EX'm?6OIbH06dV<+LdV<+LdV<+6vV<+LdV<+
#@ LdV<+O!r<+@=Bq@-,:eD1osdD&=cSALR8EF6HTCF7BK(F9mtD+.5#D+45;`F0KAkBrx;:+Ld
#@ V<+LdV<+@NncB)K.C>pR5SAP9xQHs&O'FoJwn-D>`6A/1w:.(Si?>G`+y3i>sU>Qo)k.)Ri?
#@ >i>sU>c&9pH|G(x>GBOW>jmJ!?3y*k.HdY1/f6qU>`MqT,:ZbDFUNSF+'NB,EX'm?6%+t#?D
#@ n<@wLdV<+LdV<+LdV<+LdV<+i*=kA&2#j@vkT;@>6ME++p/bEncZRAw^DTA1Q2TA//gaEv=i
#@ 7/.0?SA,oW/D1|(yG,25DF3)^aEwosdD#[email protected]<:+LdV<+LdV<+LdV<+
#@ O*S9,f1+D+-|#j@vkT;@>6ME+0lTKD?B1'DtDG)F*a';@>k2DF>6ME+,uk)F@h?fD1'nlBKD
#@ q=+n*IID+S5D+2'[email protected]<:+LdV<+LdV<+LdV<+JS)!F(c|[email protected]`%lB(w/gCmxoR
#@ A3cB'F1Q5DFFx>UAEve#H=;tT><1-C+.C;:+LdV<+LdV<+LdV<+@NncB)K.C>pR5SAP9xQHd
#@ LqT,(h,<@X=U)E>19<IB`xQH6dV<+LdV<+LdV<+LdV<+LdV<+9MfCFwsY8AyMB,EX'm?6PR(
#@ d06dV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTA4rjIDPdV/D
#@ 1|(yGa<VE+FvV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+)'|(F?dZQHs&O'FoJ
#@ wn-D>`6A/1w:.(Si?>G`+y3i>sU>Qo)k.)Ri?>i>sU>c&9pH|G(x>GBOW>jmJ!?3y*k.HdY1
#@ /f6qU>`MqT,:ZbDFUNSF+H#1'Dm-cOB6dV<+LdV<+LdV<+LdV<++*Z4B7J|C+G4#=IDI|s@0
#@ 6FiCU&RgBQ<SF+>sui@06FiCU&RgB31<:+LdV<+LdV<+LdV<+IJcZE,2V`F&TI^>`MqT,2pW
#@ /D1|(yG_+)*FX.Js+,oW/D1|(yG_+)*F6dV<+LdV<+LdV<+LdV<++*Z4B7J|C+3N!iC7&O'F
#@ cLqT,2pW/D1|(yG_+)*FX.Js+,oW/D1|(yG_+)*F6dV<+LdV<+LdV<+>N<:+LdV<+LdV<+O*
#@ S9,q;EiC>xG<F&;m`C)G#D+u@oC+`=Bq@-,:eD1iO.DJ'/F+C^bWF!qbSAKOOeB%pW/D-9fC
#@ F'ovlBrcK?wLdV<+LdV<+LdV<+91VE+u3uSAruwlBpa.=w6dV<+LdV<+LdV<+rTdQ6hp^LC1
#@ =,D++p/bE*iW<@6CQC+r-ur@*,:eD1osdD&=cSALR8EF6HTCF7BK(FufY8A<GFiC>xG<FsG>
#@ 0A#ATC+,HX/D.0?SA9.q&G6dV<+LdV<+LdV<+1=,D+TOm0Dm-cOB@8gFEXjK3I<H<:+LdV<+
#@ LdV<+LdV<+JS)!F2hm`C)G#D+vHFiCC2qT,:ZbDFUNSF+Dg:p>6dV<+LdV<+LdV<+DCZKA1V
#@ #D+<#V<+LdV<+LdV<+LdV<+O!r<+e0G<Fq?DTA88'(F073'Dm-cOBAVrSA*+G<F?.!-E6=VE
#@ +.5#D+7CqE+45;`FAy1mB8r1`F=APQBwosdD&=cSA03rjBHXG<F3MuwG)2oC+.E'0Dm-cOBw
#@ 1<:+LdV<+LdV<+LdV<+JS)!F2hm`C)G#D+vHFiCC2qT,5wBCF5pRF+Dg:p>6dV<+LdV<+LdV
#@ <+LdV<+9MfCF,oW/D1|(yG_+)*F+5#-E6=VE+>,veD&=cSAd'4DFT;;s+,i+=wLdV<+LdV<+
#@ LdV<+(I8:+LdV<+LdV<+@NncB)K.C>#XmID0k>D+A,&lB%:w%?&eyE1Dn<@wLdV<+LdV<+Ld
#@ V<+LdV<+,#cDF)m_TA6dV<+LdV<+LdV<+>N<:+LdV<+LdV<+O*S9,brdCF5Q?<@=fBfDv0U?
#@ wLdV<+LdV<+LdV<+91VE+2'aaEkf0*F:Zg6wLdV<+LdV<+LdV<+91VE+2'aaEig?lB*wJ9>t
#@ *Z8AC>qT,6p<JDTdbH0svV<+LdV<+LdV<+1A`nA5l*aE!N(yGU(m!.D>`6AG/_6A/1w:.cLP
#@ F+Sd;EFd_pi:2fbDFQR8EFV6I?6:og9I<H<:+LdV<+LdV<+LdV<+@NncB)K.C>pR5SAP9xQH
#@ =Hk?>^_'RH2'aaEkf0*FDORF+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+XB<0A!xmID16sJD7q
#@ 1*F6dV<+LdV<+LdV<+LdV<+LdV<++*Z4B7J|C+'Si?>G`+y3i>sU>L<(V,^_'RH2'aaEig?l
#@ BL?SF+NY)!F3f1EFc#=JD5#V<+LdV<+LdV<+LdV<+LdV<+9nATA)acW@<negC0TI^>^rT9IT
#@ /f*F3f1EFtN0<IP'8s+5Q?<@j1CxF4#V<+LdV<+LdV<+LdV<+LdV<+9nATA)acW@<negC0TI
#@ ^>>MqT,;R?<@j1CxFT;;s+KQ8EFV6I?641<:+LdV<+LdV<+LdV<+LdV<+IJcZE,2V`F2U`4@
#@ @-xQH#ORF+Sd;EFV6I?6R<SF+NY)!F3f1EFV>L?wLdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6d
#@ V<+LdV<+LdV<+LdV<+LdV<+-;m|;vXIhCt>/kB+QG)F3+uD+!?L?wLdV<+LdV<+LdV<+LdV<
#@ +LdV<+)'|(F?dZQH|G(x>GBOW>jmJ!?aLPF+Sd;EFd_pi:2fbDFX.Js+5Q?<@rOtbDS@5*4-
#@ ;m|;7?s+E.0?SA7q1*F4GTfD6APQB9R8EF/,--E2fbDFE?)E+s?VTA<k2DFw3BCF/YpJDkpr
#@ p@u2rjB5#V<+LdV<+LdV<+LdV<+LdV<+9nATA)acW@tLl?>^_'RH2'aaEkf0*FX.Js+5Q?<@
#@ j1CxF4#V<+LdV<+LdV<+LdV<+LdV<+9nATA)acW@!_M!?^_'RH2'aaEkf0*FX.Js+5Q?<@j1
#@ CxF4#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+(I8:+LdV<+LdV<+@NncB)K.C>#XmI
#@ D0k>D+Sd;EFd_pi:2fbDFZ-4s4esPF+<#V<+LdV<+LdV<+LdV<+O!r<+ekTKD-VwfD8.G<Fw
#@ VtD+/G#D+pNR.D4LVE+8IG<F-%,!F3f1EF.w=JD9G6bE/rtfAfwv>wLdV<+LdV<+LdV<+LdV
#@ <+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+1A`nA/62gCs9V5BBcpT,;R?<@
#@ rOtbD@@9<IRog?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+FOMEF.cA!=vs7lBQ.F?+ek
#@ TKD-VwfD8.G<FwVtD+/G#D+45;`F85:JD.0?SA5Q?<@=fBfD8LVE+Sd;EFd_pi:2fbDF@<9:
#@ +LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+:mui@2
#@ 6nlBt?v>wLdV<+LdV<+LdV<+(I8:+LdV<+LdV<+O*S9,brdCFwsY8A.w=JD0ZFIDq/`OBuIY
#@ D+v|tfA:kbD+7xME+08;-B*!nID6dV<+LdV<+LdV<+9MfCFwsY8AlZME+:;9:+LdV<+LdV<+
#@ JS)!F+)ZKA^tsbD8LVE+ko<JD.I%F+A,&lB=gRF+/C;:+LdV<+LdV<+@NncB)K.C>pR5SAP9
#@ xQH|G(x>GBOW>jmJ!?l<^3IFx>UA^tsbD@@9<IwsY8AT-t#?Dn<@wLdV<+LdV<+LdV<+LdV<
#@ +7pZQHf*Z4B:R2E+|T:x>>MqT,*tY8AtN0<IB`xQH6dV<+LdV<+LdV<+LdV<+LdV<+ae@*1:
#@ >/kB+QG)F3+uD+!?L?wLdV<+LdV<+LdV<+LdV<+LdV<+)'|(F?dZQH|G(x>GBOW>jmJ!?l<^
#@ 3IFx>UA^tsbD@@9<IP!i<+TH4h:2fbDF6dV<+LdV<+LdV<+LdV<+LdV<++*Z4B7J|C+3N!iC
#@ !_M!?!IRF+?/nIDxf0*FVqh<+7qjIDxf0*F6dV<+LdV<+LdV<+LdV<+LdV<++*Z4B7J|C+3N
#@ !iCtLl?>^_'RHFta=6R<SF+@0WKAVVBxF4#V<+LdV<+LdV<+LdV<+LdV<+9nATA)acW@<neg
#@ C0TI^>@MqT,*tY8AtN0<IP!i<+Fta=641<:+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+L
#@ dV<+LdV<+LdV<+LdV<+!-?iBs!cSA'N!/D'0elB,Q|N@41<:+LdV<+LdV<+LdV<+LdV<+IJc
#@ ZE,2V`FG(m!.D>`6AG/_6A/1w:.yLqT,*tY8Ac#=JDU;;s+7qjIDYg?lB_xO?+,;jAF8%2E+
#@ .5#D+7xME+,HX/D.0?SAwsY8A.w=JDD+dfD.uACF-GqcBwq,<@5Vi|D!R^:@o>|N@)4<:+Ld
#@ V<+LdV<+LdV<+LdV<+IJcZE,2V`F&TI^>>MqT,*tY8AtN0<IP!i<+Fta=641<:+LdV<+LdV<
#@ +LdV<+LdV<+IJcZE,2V`F&TI^>@MqT,*tY8AtN0<IP!i<+Fta=641<:+LdV<+LdV<+LdV<+T
#@ X93I6dV<+LdV<+LdV<+(2;4wLdV<+LdV<+LdV<+7pZQHf*Z4B:R2E+F6Gs.+JkID6JZ-Ei_!
#@ Y?'#tU>D9Ss-^_'RHTH4h:2fbDFDORF+<#V<+LdV<+LdV<+LdV<+:tJTAQ0v%='NB,E(^mID
#@ FZE8wLdV<+LdV<+LdV<+(I8:+LdV<+LdV<+@NncB)K.C>#XmID0k>D+?/nIDYg?lB4gw%?&Y
#@ &d0XE8=+yB%%='NB,E(^mIDp/oW4,du4I<H<:+LdV<+LdV<+LdV<+O*S9,l_0`F,A3'D3S#D
#@ +#4IID/EuSA#pbSA,ZbDF9mtD+.5#D+tiE+E2fbDF4GTfD)KAkBrx;:+LdV<+LdV<+LdV<+@
#@ NncBGYU^,@E0bE!dMTAb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+&=!iC&K#DFI_'RHTH4
#@ h:2fbDFZ-4s4esPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+GH8`FKr:s+e/9KDE>Nb3l_0`F
#@ ,A3'D3S#D+#4IID/EuSA4AK,E?MqcB7APQB%rjIDws@lBH2qT,*tY8Ac#=JD?nj6wLdV<+Ld
#@ V<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+,#cDF)m_T
#@ A6dV<+LdV<+LdV<+DCZKA%@;kBGYU^,F'lo@3QBfD-ZbDF9psZ4esPF+<#V<+LdV<+LdV<+L
#@ dV<+GH8`FKr:s+Yl<eD,wBCFMk8c3l_0`Fu)B3@^`I(FbYM)=%S#D+mZ!iC7wVE+.5#D+tiE
#@ +E2fbDF7O,D+.5#D+n0Hr@+u'eDrN<JD1>TfD50=JD%?m;@L>.>+i9XU6;pZ_2A;9:+LdV<+
#@ LdV<+TX93I6dV<+LdV<+LdV<+-;m|;*qbOB0j7lB>%DE+#f:-B*!nID6dV<+LdV<+LdV<+9M
#@ fCFG:wLDwNTfD.'8UA&w/gCmxoRAY_'RHu3uSANO!r>6dV<+LdV<+LdV<+9MfCFwsY8AJ(df
#@ ;7;fC+:;9:+LdV<+LdV<+@NncB)K.C>pR5SAP9xQH|G(x>GBOW>jmJ!?l<^3IT/f*F*qbOB0
#@ j7lBFn&<IwsY8AJ(df;tb7v?Dn<@wLdV<+LdV<+LdV<+LdV<+7pZQHf*Z4B:R2E+|T:x>>Mq
#@ T,*tY8AJ(df;?/I:IB`xQH6dV<+LdV<+LdV<+LdV<+LdV<+ae@*1:>/kB+QG)F3+uD+!?L?w
#@ LdV<+LdV<+LdV<+LdV<+LdV<+)'|(F?dZQH|G(x>GBOW>jmJ!?l<^3IT/f*F*qbOB0j7lBFn
#@ &<IP!i<+5R?r@/QsJD2Q0bE6dV<+LdV<+LdV<+LdV<+LdV<++*Z4B7J|C+3N!iC!_M!?!IRF
#@ +?/nIDxf0*FjQ?r@Vqh<+7qjIDxf0*FjQ?r@6dV<+LdV<+LdV<+LdV<+LdV<++*Z4B7J|C+3
#@ N!iCtLl?>^_'RHFta=6,dJuG;aRF+@0WKAVVBxF%0#LDw#V<+LdV<+LdV<+LdV<+LdV<+9nA
#@ TA)acW@<negC0TI^>@MqT,*tY8AJ(df;?/I:IP!i<+Fta=6,dJuGrU;:+LdV<+LdV<+LdV<+
#@ TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+!-?iBs!cSA'N!/D'0elB,Q|N@41<:+Ld
#@ V<+LdV<+LdV<+LdV<+IJcZE,2V`FG(m!.D>`6AG/_6A/1w:.yLqT,M;wLDwNTfD.'8UAS;;s
#@ +P)k#H#sJbE88.>H#.<:+LdV<+LdV<+LdV<+LdV<+IJcZE,2V`F&TI^>>MqT,*tY8AJ(df;?
#@ /I:IP!i<+Fta=6,dJuGrU;:+LdV<+LdV<+LdV<+LdV<+IJcZE,2V`F&TI^>@MqT,*tY8AJ(d
#@ f;?/I:IP!i<+Fta=6,dJuGrU;:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+>N<:+LdV<+
#@ LdV<+@NncB)K.C>#XmID0k>D+X<n#H#sJbE88.>H)aw%?&Y&d0XE8=+yB%%='NB,E(^mIDp/
#@ oW4,du4I<H<:+LdV<+LdV<+LdV<+@NncBGYU^,@E0bE!dMTAb`xQH6dV<+LdV<+LdV<+LdV<
#@ +LdV<+FOMEF.cA!=vs7lBQ.F?+ekTKD(5:JDw4%lB=*k#H#sJbE88.>H=,qT,M;wLDwNTfD.
#@ '8UA|qq=+/*s+E&FV5BAN7',6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+TX93I1D5)F!>,
#@ D++2g!7coW/D*FuSAL?SF+BV&d0Dn<@wLdV<+LdV<+LdV<+LdV<+vE_bD+d<JD!3<SA#y;:+
#@ LdV<+LdV<+TX93I1D5)F!>,D+Ly>UAVVBxF%0#LD;@[email protected]+XE8=+e0cSA)mRQBFx>UAVV
#@ BxF%0#LD*D0C+BV&d0Dn<@wLdV<+LdV<+LdV<+LdV<+fjdOA2WSkBt#K(FD=&`Fs|/bE9mtD
#@ +!?L?wLdV<+LdV<+LdV<+LdV<+91VE+dGkl9m-cOBFx>UAVVBxF%0#LD,O<:+LdV<+LdV<+L
#@ dV<+JS)!F(c|N@cf18@,8>D+vHFiCC2qT,=r1*FR-ur@I;;s+:i+=wLdV<+LdV<+LdV<+LdV
#@ <+91VE+7xME+FvV<+LdV<+LdV<+LdV<+:tJTA2WSkBs#f^FDT<8wLdV<+LdV<+LdV<+LdV<+
#@ 7pZQHko<JD.I%F+Cyf^Fbm@CF5pRF+b,4s4ruwlB'E(yGC@BX@cf18@4,!;IMQe9I<H<:+Ld
#@ V<+LdV<+LdV<+LdV<+JS)!F(c|N@0!J9>t*Z8AC>qT,=r1*FR-ur@Xm(d0svV<+LdV<+LdV<
#@ +LdV<+LdV<+:tJTA2WSkBs#f^F'w/gCmxoRAY_'RHdGkl9m-cOBLCdq>6dV<+LdV<+LdV<+L
#@ dV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTA8Jc^F'w/gCmxoRAY_'RHdGkl9m-c
#@ OBLCdq>6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF%w)5B*r1*FruwlB'E(yGC@BX@cf18@4,!;I
#@ /A,=wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+O!r<+H?!eD7#Q)F)Jc^F75:JD2T
#@ |N@=XME+u@oC+4U%F+njkSA4#V<+LdV<+LdV<+LdV<+ARkQB'A#D+IABX@R<SF+46oT,+%)5
#@ B*r1*Fb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF4bLyGO>&iBK@+U,M;wLDwNTfD.'8UAs
#@ Sl?>Cyf^F!fM!?8vV<+LdV<+LdV<+LdV<+LdV<+:tJTAGx4#H|@PD+R(9q@g*;1Chd|Z?`=B
#@ q@L_'RHwp%UAXp;JDT`;!F*qbOB0j7lB@7%',svV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH
#@ [email protected]+Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<[email protected]
#@ R#Htl&(FnF|;@6H:s+;|DkB^-4h:C?4(,svV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*R
#@ [email protected]+Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+w!saEG:wLDY?n(Fh
#@ ^m=wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7Ag'Z<@%:7IDlU,W@slSCFP/k#H#sJbE88.>H;ik<+
#@ X<n#H#sJbE88.>H+rQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+JS)!F3q3'Dat91
#@ CruwlB'E(yGNNm0Dat91CLCdq>6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@Y
#@ hFtFja0;@u@#D+1Qo(F@wf*Ff++:@;<ylB('WTAUuA`F/1VE+Rm7#He43;Il'9q@1;wLDwNT
#@ fD.'8UAEF`cE.KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.NP)FLZSF+
#@ <#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7AfH<JDgI|mA.OZ8Ag*;1C)+VE+I:
#@ wLDwNTfD.'8UAM(8s+mZ!iC&KQ3BtTRdDaCBq@oF|;@8ZpT,(f-O:sHw%?6dV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+L
#@ dV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+@NncB0tCE+!?L?wLdV<+LdV<+LdV<+LdV<+>#V
#@ <+LdV<+LdV<+T@PF+!xMTA7pZQHX<n#H#sJbE88.>HA9SF+7H:s+y_xQH6dV<+LdV<+LdV<+
#@ LdV<+9MfCFve-O:iZI9>VVmX?mxPSAf=ap@hp^LCT/f*F*qbOB0j7lBFn&<IP/k#H#sJbE88
#@ .>H#<=p>6dV<+LdV<+LdV<+LdV<+1=,D+TOm0Dat91C@8gFEXjK3I<H<:+LdV<+LdV<+LdV<
#@ +LdV<+O*S9,,kc#HE?)E+4U%F+.5#D+5R?r@/QsJD2Q0bE)<..D&#V<+LdV<+LdV<+LdV<+L
#@ dV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+VVmX?wm<eDh<.
#@ .D`^CkBO`;!F*qbOB0j7lB@7%',T/f*F*qbOB0j7lBFn&<I6dV<+LdV<+LdV<+LdV<+LdV<+
#@ >N<:+LdV<+LdV<+LdV<+LdV<+JS)!F5.j|D|9n1:uC#D+X<n#H#sJbE88.>H+rQ@wLdV<+Ld
#@ V<+LdV<+LdV<+LdV<[email protected]#Htl&(FnF|;@8ZpT,M;wLDwNTfD.'8U
#@ A#(/=wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQHRm7#He43;I8s:E+XFPF+<#V<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+94:JD5L,!Fd'/k9,pMTA%#V<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*
#@ RH'%HW@<Jx:IDn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+VVmX?009KDhg0Z?mxPSA
#@ ;u:s+5R?r@/QsJD2Q0bEX^'RH)C)5BNjkSA>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLd
#@ V<+LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCFve-O
#@ :iZI9>t*Z8AC>qT,(f-O:6!SF+.C;:+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C
#@ ,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RHd8_S@72wj@fEi'F*qbOB0j7
#@ lB>%DE+8w`TA>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+VVmX?wm<eD!l1`FmwRdDaCBq@K`;!F*qbOB0j7lB@7
#@ %',,ocRA<Wk<+h)fCFg*;1Chd|Z?njkSANNm0Dat91C&D;:+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +TX93I6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+(
#@ 2;4wLdV<+LdV<+LdV<+91VE+.0B,EY@oC+?/nIDYg?lBg32+40ViZ<ja0;@u@#D+B:fZEp*l
#@ jB<(3cEt`<JDteYKA^tsbD8LVE+:<ylB!_|7A)<..DeH8:+LdV<+LdV<+O*S9,RF=SAw2qcB
#@ A=PW6)yQ#HTl&(F5,:eD4AoC+rSvhC*JYD+rcmlB%E#j@iNa>wLdV<+LdV<+LdV<+7pZQHf*
#@ Z4B:R2E+1preD/nx.Bw3=JD)<dRAB'SF+ko<JD.I%F+A,&lB=gRF+7,g9I<H<:+LdV<+LdV<
#@ +LdV<+JS)!F)l#j@!:_LCN?VTAMZ!iCGh9:+LdV<+LdV<+LdV<+@NncB)K.C>3'8UAdh`p@w
#@ 1cSA'<XeDrKQ3Bu|m*E7FME+IDvmB!wJ9>t*Z8AC>qT,6p<JDUm(d0Y8/C+-du4I<H<:+LdV
#@ <+LdV<+LdV<+LdV<+JS)!F+)ZKA^tsbD8LVE+h)fCFg*;1Chd|Z?njkSAi35SAh(fRA8@ME+
#@ IDvmBH.fK/p*RdDaCBq@/!J9>lj|Z?2|G)FUuA`F/1VE+ko<JD.I%F+A,&lB=gRF+j_U!?sv
#@ V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+(I8:+LdV<+LdV<+O*S9,tnx.:r6b87Se=/
#@ :)bTG;'>a'<^vV<+LdV<+LdV<+:tJTA8oATAn9cr@'w&F+FvV<+LdV<+LdV<+:tJTA>y-fDU
#@ PAq@X3/k9_UbH06dV<+LdV<+LdV<+9MfCF*lreDrl<JDPx@[email protected]+6dV<+LdV<+LdV<+9Mf
#@ CF*lreDrl<JDPx@CFQ'4DF:Zg6wLdV<+LdV<+LdV<+91VE+rTN+El>&UAEZE8wLdV<+LdV<+
#@ LdV<+91VE+m0Hr@Tx@CF6T<8wLdV<+LdV<+LdV<+91VE+m0Hr@Tx@CFQ'4DFFe9:+LdV<+Ld
#@ V<+6HU6wLdV<+LdV<+LdV<+em<LBuIYD+.teZEp#TbEr'L;@*FV5BGjd|G>6ME+s?%hCo:|7
#@ A9mtD+.5#D+u3uSA4P5cE7AK(F*tfq@26sJD6dV<+LdV<+LdV<+1=,D+%vDTA,rP-ERjb'<x
#@ maU6_^IU?DK99IruwlB'E(yGMERjC1;x:I09T!?Dn<@wLdV<+LdV<+LdV<+LdV<+91VE+p^(
#@ kBd@QyFJZE8wLdV<+LdV<+LdV<+LdV<+91VE+rTN+El>&UADT<8wLdV<+LdV<+LdV<+LdV<+
#@ 91VE+m0Hr@!FV5BN?VTAruwlB'E(yGMERjC1;x:I3M,=wLdV<+LdV<+LdV<+LdV<+7pZQH)G
#@ .>Hf44Y?vwviCrimlB%gI9>91VE+41VE+h)fCFs>fCFS;i@E/0fCFC@BX@!:_LCR^Zq7/Mx:
#@ IY8/C+%p=>+yEnT,Mkd|GMT<eDP?SF+7H:s+(FuSAy_xQH6dV<+LdV<+LdV<+LdV<+LdV<+#
#@ 6|K8!NngCs3qkB)i:-B'Y#D+#dhTA7bl(F1@NBDGVq=+'LmID-)fCF1Z7hChd|Z??LME+&Bj
#@ JDnEVTA4+dfD*a';@4WrSA5#V<+LdV<+LdV<+LdV<+LdV<+:tJTAC7r_F'LQ3Bh=ap@(Z5)F
#@ UuA`F/1VE+.44Y?mxPSA7XME+aN!iCl#sJDvmSCF/WpT,:?fCFI>eK/'ZPDF;o:s+ik3Y?nj
#@ kSArHZF+0lVL9|B9W?`2^=+WafZEe!7IDuC#D+'1jN.&<^W?bD?t+svV<+LdV<+LdV<+LdV<
#@ +LdV<+1A`nA6uE'F/64eDd-!eDmIBq@26sJDNNm0D?sw%?%P`H0Dn<@wLdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+7pZQHNIu_FVJFnAJL9<I8s:E+A:hAGy_xQH6dV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+uD+h)fCFs&=JDS;i@E/0fCFD7BoAg*;1C
#@ 'o(*FNNm0DWKSF+5KxhC8%DE+.&TbErimlByWnW4V4:JDXE8=+njkSArHZF+V4-)<9WNS.s7
#@ /C+.!<5I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpg
#@ C/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RHOm|<Il'9q@1kd|GHRM`F.O<:+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+7pZQHGDiTA.NP)FLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ )`H7Ag'Z<@w1q-D70j'F,N|,EfHI9>lj|Z?mxPSAqK7IDuC#D+NIu_F)(/=wLdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+;`4hCtgbnAyq*RHlri<7%Q5cECDZKAB*C'DtAP_FNGPF+<
#@ #V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nA6uE'F/64eDd-!eDmIBq@26sJDi35SAwFI
#@ lBP+fK/,:HSAR)3_DcU,W@slSCFT_'RHOm|<I>qAkB/?0bE1D/kB&mSCF3+uD+%QocBQj07-
#@ )<..DbfyQIv5=/:cPyM9(XS!?wS&d0j_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+(d|7ApxBq@YhFtFja0;@u@#D+1Qo(F@wf*Ff++:@;<ylB('WTAUuA`F/1VE+NIu_FE2wj
#@ @`dp-DNgG<FAPx:I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+VVmX?009KD1kd|GscqkB!_|7Ai35SAqU,W@slSCFh<..D
#@ F_'RHOm|<IsvV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FH
#@ VeK/,:HSAU_'RHOm|<Il'9q@1kd|GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV
#@ <+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+DCZKA%@;kB
#@ )K.C>pR5SAP9xQHXy3=6KK)19_jmU?CQu871w/gCmxoRAY_'RHu3uSAPx3r>B`xQH6dV<+Ld
#@ V<+LdV<+LdV<+qKI66!:_LC+S5D+n?VTA1=,D+#dhTA/#V<+LdV<+LdV<+LdV<+:tJTA/Nng
#@ Cs3qkBJsO7@!LI9>t*Z8AC>qT,6p<JDY<@'2svV<+LdV<+LdV<+LdV<+1A`nAyq*RHm0Hr@!
#@ FV5BN?VTA=WrSAP!i<+yEnT,0mreDrl<JDPx@CF=@OBD>qh<+y_xQH6dV<+LdV<+LdV<+LdV
#@ <+LdV<+1=,D+%vDTA,rP-EAqXU>hmDq./b+k.yLqT,0mreDrl<JDPx@CF1)^N@!:_LCR^Zq7
#@ I7k=6/rg9I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,RF=SAw2qcB/APQBoicRA+otfABU
#@ G<Fq5|N@!:_LC+S5D+n?VTA,25DF32=mBGXME+ETY<+r^YSAELMEF.0?SA7q1*FufY8A:uMT
#@ A6/4iCBAPQBr2rjB5#V<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nA)U9mA.KfwG7hR#Htl&(Fn
#@ F|;@6H:s+?/nIDYg?lBPcj^,0mreDrl<JDPx@CFQ'4DF>1-C+7H:s+y_xQH6dV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+9MfCF*lreDC*P7@QBQgBFZE8wLdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+91VE+Fta=6Lr:s+8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH'N
#@ B,EX'm?6EL0<I5F#D+VKO6-y^'RHG((Y606FiCU&RgBp/oW4-j)5I<H<:+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+JS)!F)l#j@06FiCU&RgBKr:s+8vV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+TH4h:2fbDFXd'RHTH4
#@ h:2fbDFKABX@!:_LCR^Zq7I7k=6IQ4(,6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+
#@ |Kb'Gu`mdDJx5=I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+G8-wEIXME+a0:oA'%
#@ U;@26sJD*7KdCs3qkB)i:-B'Y#D+0lTKD(f3w<17ME+0g!iC-#sJD2I-<@8LVE+,=VE+:`qg
#@ Cs3qkBJsO7@QBQgBDMufA;%lD+.5#D+E/uo/F@ip6G(GJ0Wog6wLdV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV
#@ <+>#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+7pZQH)G.>Hf44Y?vwviCrimlB
#@ %gI9>91VE+41VE+h)fCFs>fCFS;i@E/0fCFC@BX@!:_LCR^Zq7/Mx:IY8/C+-du4I<H<:+Ld
#@ V<+LdV<+LdV<+LdV<+O*S9,f1+D+m0Hr@!FV5B)fACFvQJkB6btD+*WB3@4>fCFJ@PQB');-
#@ B9R,!FfIBq@**T,E2osdDqOBq@*&oC+DLG<F*%VE+siT?wLdV<+LdV<+LdV<+LdV<+LdV<+9
#@ 1VE+Gw&F+h)fCF`*7hCN+fK/,:HSAR)3_DcU,W@slSCFqjX9@g%ap@(CuSAmj|7AOW3LD+gw
#@ %?>qAkB/?0bE;tATAaF|;@Q<nW4=or^;;xaU6tFte:d%d!I*!?nAh<..DbfyQIcq=D<#Gte:
#@ *i+=wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQH)G.>Hf44Y?vwviCrimlBCupT,Mkd|GZ-4s4bu
#@ O6-y^'RHlri<7%Q5cEL@OBD73^BD1YY%,Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQ
#@ HNIu_FVJFnAJL9<I8s:E+A:hAGy_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+*
#@ LdlB,7DoAjf<iCulSCF3+uD+h)fCFs&=JDS;i@E/0fCFD7BoAg*;1C'o(*FNNm0DWKSF+5Kx
#@ hC8%DE+.&TbErimlByWnW4V4:JDXE8=+njkSArHZF+V4-)<9WNS.s7/C+.!<5I<H<:+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuq
#@ CEF*'f^FHVeK/,:HSAU_'RHOm|<Il'9q@1kd|GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.
#@ NP)FLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7Ag'Z<@w1q-D70j
#@ 'F,N|,EfHI9>lj|Z?mxPSAqK7IDuC#D+NIu_F)(/=wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+;`4hCtgbnAyq*RHlri<7%Q5cECDZKAB*C'DtAP_FNGPF+<#V<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+1A`nA6uE'F/64eDd-!eDmIBq@26sJDi35SAwFIlBP+fK/,:HSAR)3_Dc
#@ U,W@slSCFT_'RHOm|<I>qAkB/?0bE1D/kB&mSCF3+uD+%QocBQj07-)<..DbfyQIv5=/:cPy
#@ M9(XS!?wS&d0j_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@YhFtF
#@ ja0;@u@#D+1Qo(F@wf*Ff++:@;<ylB('WTAUuA`F/1VE+NIu_FE2wj@`dp-DNgG<FAPx:I6d
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+1A`nAyq*RH'%HW@<Jx:IDn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+VVmX?009KD1kd|GscqkB!_|7Ai35SAqU,W@slSCFh<..DF_'RHOm|<IsvV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ >#V<+LdV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RHOm|<
#@ Il'9q@1kd|GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+'Ztc9+vZD+m0Hr@!FV5B)fACF&2w(Fy:E<F8APQB&utfA(AoC+.5#D+,uk)F9uJ
#@ TAulSCF+72E+:A.#H=A`nA>%lDFNx1mB'K|N@!:_LC.,TbE5ZB3@+8m`C5xVE+1|w@wLdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+91VE+m0Hr@Tx@CF7ZE8wLdV<+LdV<+LdV<+LdV<+LdV<+;`
#@ 4hCtgbnAyq*RHm0Hr@!FV5BN?VTA=WrSAP!i<+^JqT,0mreDrl<JDPx@CF=@OBD>qh<+y_xQ
#@ H6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF62jFE'btD+h)fCF`*7hCN+fK/,:HSAR)3_Dc
#@ U,W@slSCFqjX9@g%ap@(CuSAmj|7AOW3LD+gw%?>qAkB/?0bE;tATAaF|;@Q<nW4=or^;D3U
#@ 77na:p>6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+uD+D2NTA
#@ #ImIDYwnW4-j)5I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEeg
#@ pgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RH(Lq5B=-SF+VVmX?tiE+E2fbDF1kd|
#@ GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,@E0bE!dMTAb`xQH6dV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@i<;oA(Kt_F&.DTA/wJ9>lj|Z?mxPSAqK7
#@ IDuC#D+D2NTA#ImID&D;:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+DCZKA1V
#@ #D+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+n0VE+8+uD+p^(kB.6siCw3=JD67r_F,dZRAuo*m
#@ B4,ufA;k3'DG(4'DvwJlBC/q=+B:fZEp*ljB*KAkBFxVE+u@oC+,l:EFGjd|G9mtD+.5#D+%
#@ xRQB6dV<+LdV<+LdV<+LdV<+LdV<+9MfCF8DsJDP^(kBd@QyFJZE8wLdV<+LdV<+LdV<+LdV
#@ <+>#V<+LdV<+LdV<+LdV<+O!r<+nsJ@+n`J66KK)19u0f@+CQu87v5=/:L88Q8>k::+LdV<+
#@ LdV<+TX93I1D5)F!>,D+%vDTA,rP-EQ:yS7`>J9>t*Z8AC>qT,6p<JDX3%a1wORF+<#V<+Ld
#@ V<+LdV<+LdV<+O!r<+5OaU6vIZI:((YS7d@PQB9!D9G.kbD+w(?<@%HB3@2Y3'D=TK(FE4:J
#@ Du|D9A+S5D+6`P)F)5:JD.0?SA*lreDrl<JDq|p:@%y;:+LdV<+LdV<+LdV<+O*S9,_`qeDr
#@ l<JDq|p:@/upcB#QJkB6btD+B:fZEp*ljB7APQBt&I;@Aq3'D9@<:+LdV<+LdV<+LdV<+JS)
#@ !F(c|N@!:_LCR^Zq7'Y#D+ko<JD.I%F+A,&lB=gRF+3C;:+LdV<+LdV<+LdV<+@NncB)K.C>
#@ 3'8UAdh`p@w1cSA'<XeD)cB'F4%OBD!wJ9>lj|Z?2u(*FUuA`F/1VE+:`qgCs3qkBJsO7@+:
#@ w%?:-4s4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+S8|N@!:_LC+S5D+n?VTA3Sk%G);qc
#@ B6CQC+@HG9/.0?SA'-3SA9uJTAulSCF)&Z)F-#sJDvmSCF*+G<F8APQB0%OBD%4<:+LdV<+L
#@ dV<+LdV<+LdV<+JS)!F3q3'D50J9>lj|Z?mZ!iCS;i@E/0fCFD7BoAg*;1C'o(*FjNggCj-!
#@ eDqOBq@*&oC+?iuSA;D0C+5KxhC8%DE+,!?nAh<..DbfyQIYZk87J)s'<JorS.ZPJF+ik3Y?
#@ njkSArHZF+F'/B=JorS.uB;:+LdV<+LdV<+LdV<+LdV<+@NncB)K.C>3'8UAdh`p@w1cSA'<
#@ XeDO_'RHOm|<IRog?+hi07-NNm0DerpjA3;2EF=WrSAAa-fD?6N3I<H<:+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+@NncBGYU^,Mkd|GMT<eDP?SF+:l:s+AsR',Dn<@wLdV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+7pZQH)G.>Hf44Y?vwviCrimlB%gI9>lj|Z?2|G)FUuA`F/1VE+.44Y?mxP
#@ SA7XME+NIu_FdIfK/'ZPDF;o:s+qKDTA'<XeD*psZ49mtD+Q8LBDuC#D+@hgQ6eC-H;^8?t+
#@ 8pR<4gwQF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBag
#@ bSA3l@lB@mZQH#k|Z?3DccE,&MEFE;i@E/0fCFNNm0DWKSF+VVmX?Gw&F+8w`TA>#V<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+@NncBGYU^,@E0bE!dMTAb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+l'9q@!0aaE'Kt_F-jENC&U2TAhKQ3BtTRdDaCBq@oF|;@8ZpT,Mkd|G&D;:+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F!>,D+TOm0DerpjA3;2EF@8gFE6ViSA'Q90Dy_xQ
#@ H6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+uD+h)fCFs
#@ &=JDS;i@E/0fCFD7BoAg*;1C'o(*FNNm0DWKSF+5KxhC8%DE+.&TbErimlByWnW4V4:JDXE8
#@ =+njkSArHZF+V4-)<9WNS.s7/C+4yOJ0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+qd1kB&mSCFF4O<@ktWU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+IDvmB?2qT,Mkd|G1a
#@ H7AqJt_FKF`cE.KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+|Kb'Gu`mdDJx5=I<H<:+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+:mui@ji+@Gmm'Z?1^-x?/*s+E&&oC+h)fCFg*;1Chd|Z?njk
#@ SANNm0D?sw%?6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@mZQH#k|Z?3DccE,&ME
#@ FE;i@E/0fCFNNm0DWKSF+VVmX?Gw&F+8w`TA>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wL
#@ dV<+LdV<+LdV<+LdV<+LdV<+;`4hCtgbnAyq*RHm0Hr@!FV5BN?VTA=WrSAP!i<+^JqT,0mr
#@ eDrl<JDPx@CF=@OBD>qh<+y_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF62jFE'btD+
#@ h)fCF`*7hCN+fK/,:HSAR)3_DcU,W@slSCFqjX9@g%ap@(CuSAmj|7AOW3LD+gw%?>qAkB/?
#@ 0bE;tATAaF|;@Q<nW4=or^;D3U77na:p>6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+*Ldl
#@ B,7DoAjf<iCulSCF3+uD+D2NTA#ImIDYwnW4-j)5I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RH(Lq
#@ 5B=-SF+VVmX?tiE+E2fbDF1kd|GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+@NncB
#@ GYU^,@E0bE!dMTAb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@i<;oA(K
#@ t_F&.DTA/wJ9>lj|Z?mxPSAqK7IDuC#D+D2NTA#ImID&D;:+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+TX93I6
#@ dV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+R/B3@*lreDrl<
#@ JDq|p:@/upcB0QJkB6btD+*utfA;.lD+#0B3@!*7hC:(3cE3R/wEEDq=+8aVE+>OVE+4O,!F
#@ 7;fC+pR>SAsx;:+LdV<+LdV<+LdV<+LdV<+@NncB)K.C>3'8UAdh`p@w1cSA'<XeD)cB'F)l
#@ #j@*kbD+h)fCF`*7hCN+fK/,:HSAU_'RHm0Hr@!FV5BN?VTAa`U!?1k_?+k_xQH6dV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@YhFtFja0;@u@#D+1Qo(F@wf*Ff++:@;<ylB('WTAU
#@ uA`F/1VE+4'gRAB'SF+VVmX?tiE+E2fbDF1kd|GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+TX9
#@ 3I1D5)F!>,D+*LdlB,7DoAjf<iCulSCF3+uD+tMfCF4&=JDi35SAwFIlBP+fK/,:HSAU_'RH
#@ m0Hr@!FV5BN?VTAa`U!?1k_?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@Yh
#@ FtFja0;@u@#D+1Qo(F@wf*Ff++:@;<ylB('WTAUuA`F/1VE+h)fCF`*7hCN+fK/,:HSAR)3_
#@ DcU,W@slSCFT_'RH<bW;If`H7Ab0mIDws@lB&Cq-DNgG<FAPx:I6dV<+LdV<+LdV<+LdV<+L
#@ dV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA0KAkBPYa=6!:_LCR^Zq7'Y#
#@ D+ko<JD.I%F+obLyG#B9(F4wJhCs9UZ?njkSAC@BX@!:_LCR^Zq7/Mx:I,Cdq>6dV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@YhFtFja0;@u@#D+1Qo(F@wf*Ff++:@;<ylB('WTAUu
#@ A`F/1VE+;|DkBPYa=6!:_LCR^Zq7/Mx:Il'[email protected]=JD-Kt_FKF`cE.KQ@wLdV<+LdV<
#@ +LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+M96.:p-bS7q
#@ ,gR76dV<+LdV<+LdV<+(2;4wLdV<+LdV<+LdV<+EL+48j'5A+)!uA+Q_ae:+'VB+!p1B+^FZ
#@ D<H%;:+LdV<+LdV<+@NncBGYU^,;R?<@j1CxFK@[email protected]+ZPJF+?/nIDxf0*F=WrSAP!i<+
#@ ^JqT,2pW/D1|(yG_+)*F=WrSAXjK3I<H<:+LdV<+LdV<+LdV<+@NncBGYU^,@E0bE!dMTAb`
#@ xQH6dV<+LdV<+LdV<+LdV<+LdV<+FOMEFu9oK8-Q5cE#dmlBYCF?+ekTKD(Jc^F8+ME+7@,!
#@ F3f1EF.w=JD0ZFIDwrjIDws@lBPVq=+,?0bEw3=JD9LJ&G)#ZKAn7>D+:f(p/F@ip6G(GJ0U
#@ og6wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+659:+LdV<+LdV<+LdV<+@NncBGYU
#@ ^,Mkd|GIon^Fw@VTA9psZ4buO6-y^'RH'NB,EX'm?6EL0<I8s:E+XFPF+<#V<+LdV<+LdV<+
#@ LdV<+LdV<+O!r<+t`qE+ion^F'91vH-<c8A',:eD&Xg:@6?0bEBtTKD'.lSA/c%UA-KnlB)v
#@ <:+LdV<+LdV<+LdV<+LdV<+O*S9,gHUkB@y1mB/>7*CIxVE+h?!eD7#Q)F!ZFIDuYFID*F?<
#@ @5Vi|DaCBq@USq=+A!D9G.kbD+>OVE+0(0wE*k>D+5E#%G+<elB!b;:+LdV<+LdV<+LdV<+L
#@ dV<+:mui@fEi'FvXIhCh|e^Fh.oRA'NB,E7f5DF3+uD+Sd;EFd_pi:2fbDF^_'RH2'aaEkf0
#@ *FT`;!F3f1EF.w=JD7<9:+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,F'lo@3QBfD-ZbDF9psZ4
#@ dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7AkWdlBs!cSA!r1*FX1Bq@3QBfD1'nlBCu
#@ pT,*tY8Ac#=JDWMqT,*tY8AtN0<I7vkIDws@lB0Sj6wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<
#@ +LdV<+LdV<+LdV<+T@PF+!xMTA7pZQHNIu_FLf.q@43BCFJ_R6-y^'RH'NB,EX'm?6EL0<I8
#@ s:E+XFPF+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+Mw2PBip/q@#Dsp@BVwfD7)q=+70=JD@*k
#@ #H#sJbE88.>H%<XeD1cir@l!lSA4GTfDH=PW6)yQ#HTl&(FSocZE86nlB(upcBn=sp@5#V<+
#@ LdV<+LdV<+LdV<+LdV<+O!r<+lZME+h?!eD7#Q)F-<XeD0,:eDFR8EF/,--E2fbDFufY8Aws
#@ Y8A.w=JD5#V<+LdV<+LdV<+LdV<+LdV<+O!r<+e;o(F9)yhC,u'eD2,BCF;F`cE&E0bE)FV5
#@ B?*uD+^IbOB/WVTA(dmlB9qi|D/APQBu,R;@0Vm`C%p0?wLdV<+LdV<+LdV<+LdV<+LdV<+V
#@ VmX?!@UZ?h?!eD7#Q)F-<XeDO_'RH2'aaEig?lBL?SF+?/nIDYg?lBL?SF+Sd;EFV6I?6R<S
#@ F+?/nIDxf0*F>#V<+LdV<+LdV<+LdV<+T@PF+!xMTA7pZQH>,veD&=cSAd'4DFK@[email protected]+
#@ Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQH?/nIDPdV/D1|(yGi09<IDn<@wLdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+lWPaD&=cSA03rjB5WB3@'?#j@+s<JD,G6bE.iYKA)6BfDv0U?wLdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7ENRF+<
#@ #V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAp%Bx3PkC&Gi9XU6L:F:6yfT=+C_A*1q_x
#@ QH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+rTbOBmjW371?0bE_9k>+'NB,E6I%F+
#@ *,)*F9mtD+tiE+E2fbDF5)C!5l'9q@fHmIDq?hgCW('V@I!;yE0GX6wLdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+EL+48j'5A+)!uA+Q_ae:+'VB+Q2m877KyG;TELq6aQ/p5ml+m6F,vi9Yul876
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+4P5cE^IbOB,25DFDI|s@06FiCU&RgBQ<SF+<#V<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+O!r<+@=Bq@'B`nA/EuSA7O,D+.5#D+*,)*F>6ME+%Jc^F
#@ A(3cEMW<0A!xmID16sJDqJ|N@41<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+JS)!F+)ZKAVV
#@ BxFY<uK/8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH2'aaEkf0*F@8gFEXjK3
#@ I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+JS)!F9R,!F3f1EFlZME+G&h6wLdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nA5l*
#@ aE!N(yGU(m!.D>`6AG/_6A/1w:.cLPF+7EujBCDZKAVVBxF:ORF+<#V<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+1A`nA5l*aE!N(yG4TI^>^rT9IFx>UAVVBxFB8h9I<H<:+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,i2f=+l-lSA19XeD2Jc^F4#V<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9nATA)acW@AqXU>hmDq.GmDq./b+k.yLqT,*tY8Ac
#@ #=JDU;;s+7qjIDYg?lB.4<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZE,2V
#@ `F2U`4@@-xQH=Hk?>^_'RHFta=6R<SF+Pph<+Fta=641<:+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+IJcZE,2V`F2U`4@@-xQH!IRF+?/nIDxf0*FX.Js+wsY8AV>L?wLdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)'|(FFMeK/&kbD+)*g9IFx>UAVVBxFT;;s
#@ +7qjIDxf0*F6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O!r<+^EV5BvH>0A!xmID16sJD7q1*F6dV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<++*Z4B7J|C+'Si?>G`+y3i>sU>I7^3IFx>UA
#@ ^tsbD@@9<IP!i<+TH4h:2fbDFj!r<+o;ylB9APQB)Jc^F8G6bE=FG<FtPYKA)6BfD8LVE+<Y
#@ m`Cw?0bE/WVTAw@VTA?*uD+^IbOB,25DF6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<++*Z4B7J|C+'sT9IFx>UAVVBxFT;;s+7qjIDxf0*F6dV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<++*Z4B7J|C+)*g9IFx>UAVVBxFT;;s+7qjIDxf0*F6dV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+Ly>UAVVBxFK@OBDK<uK/y%d!IT/f*F3f1EFtN
#@ 0<I5F#D+e6L3I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,f1+D++p/bE.bl(
#@ F%)|N@IXG<F%plRA'NB,Es9v>wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHN
#@ Iu_FLf.q@43BCFLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*
#@ RHFta=6R<SF+:l:s+cFPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ )`H7Af|e^Fh.oRA'NB,E7f5DF3+uD+Sd;EFd_pi:2fbDFZ@+U,*tY8Ac#=JD|#+RH419<IX^
#@ 'RH2'aaEkf0*F^_'RHFta=6R<SF+6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7Af|e^Fh
#@ .oRA'NB,E7f5DF3+uD+Sd;EFd_pi:2fbDF^_'RHTH4h:2fbDF^_'RH2'aaEkf0*F^_'RHFta
#@ =6<uQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+O*S9,t.gAGip/q@#Dsp@BVwfD7)q=+0l>cE&q|7ABtTKD'.lSA6dV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+v-B08)S#D+.u>0A4+ME+>OVE+.rCEF-GqcB/APQB)Jc^F<
#@ bl(F//B,Exeq=+A!D9G.kbD+wH0fD&@VTA.0?SA(*;1C)+VE+8IG<F-%,!FuC#D+,CHr@6dV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+.D3w<17ME+0g!iC*liJD09BCF#B*W@
#@ slSCFJsncBAy1mB3bNBD4u>0A-?m;@=7G<F&LhTA40=JD(#V<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+O!r<+e;o(F>eTfD0y1mB)|>0AqoW/D/dMTA%)|N@>JqcB:R8EF/,--
#@ E2fbDF,oW/D<sC9G2k>D+!?L?wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+V
#@ VmX?)FV5B_yEU@#D'7AqoW/D33elB26sJDT/f*F3f1EFc#=JDWMqT,;R?<@j1CxFT;;s+2'a
#@ aE4s@lB0Sj6wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+!*-NB940wE1>fC+
#@ 0g!iCn0Bq@3QBfD&-B3@7q1*F1=,D+tiE+E2fbDF,oW/D<sC9G2k>D+!?L?wLdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQHyB%%='NB,E(^mIDp/oW4,du4I<H<:+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:mui@fEi'FvXIhCh|e^Fh.oRA'NB,E7
#@ f5DF3+uD+?/nIDYg?lBL?SF+?/nIDxf0*FF7iKA)6BfD:^7',6dV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+O!r<+Z/SQB%3DTA7/=JD%A#D+*,)*F2Kpp@#L-<@6+4'D%)#D+0g!iC>%lDFoHGn
#@ A)+VE+0<o(F6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+IABX@!:_LCN?VTAb
#@ `xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@fskjBp-!eD?AccEwfb
#@ DFT/f*F3f1EFc#=JDU;;s+?/nIDYg?lBPcj^,0mreDrl<JDPx@CFQ'4DF@<9:+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+VVmX?wsSbEl#sJD2I-<@8LVE+Sd;EFd_pi:2fbDFZ@+U,*tY8Ac#=JD|#+R
#@ H419<I8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+oikaDhqrp@*,:eD1osdD&=cSA<Jc^FvdK?wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ mZGFD&fAoA,oW/D1|(yG,25DF8G6bE.iYKA)6BfDv0U?wLdV<+LdV<+LdV<+LdV<+LdV<+;`
#@ 4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+UEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9
#@ ,XEn9,XEn9,XEn9,XEn9,<>9:+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,acV/D1|(yG,25DF3
#@ )^aEwosdD#FV5B4GTfD6APQB9R8EF/,--E2fbDF6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,
#@ D+%=<JD'oYKA@/;EF)Cy|3>KB!.K4IU?B,0C<l9e9I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+@NncBGYU^,ijkIDGAip6MK:77)Bx7.1k_?+(1QF+<#V<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+*c?q@;f:s+YfVTAys?'2,oW/D1|(yG,25DF75:JD5Q?<@=fBfD:^7',3th?
#@ +VVmX?l'uSAk.jY?moe^FDRm,E569:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+=3rfAtIa:@o>|N@C2q
#@ T,2pW/D1|(yG_+)*FDn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+2'aaEkf0*F
#@ |uXt+6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+Ly>UAVVBxFBDZKAL.Js+Dn<@wLd
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+Fta=6Lr:s+E;9:+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+%vDTA,rP-EA
#@ qXU>hmDq.GmDq./b+k.yLqT,235DFQR8EFV6I?6:og9I<H<:+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+@NncB)K.C>pR5SAP9xQH=Hk?>^_'RH2'aaEkf0*FDORF+<#V<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O!r<+XB<0A!xmID16sJD7q1*F6dV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<++*Z4B7J|C+'Si?>G`+y3i>sU>L<(V,^_'RH2'aaEig?l
#@ BL?SF+NY)!F3f1EFc#=JD5#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9nATA)
#@ acW@<negC0TI^>^rT9IT/f*F3f1EFtN0<IP'8s+5Q?<@j1CxF4#V<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+9nATA)acW@<negC0TI^>>MqT,;R?<@j1CxFT;;s+KQ8EFV6I?6
#@ 41<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZE,2V`F2U`4@@-xQH#ORF+Sd
#@ ;EFV6I?6R<SF+NY)!F3f1EFV>L?wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+;`4h
#@ C@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+-;m|;vXIhCt>/kB+QG)F3
#@ +uD+!?L?wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)'|(F?dZQH|G(x>GBO
#@ W>jmJ!?aLPF+Sd;EFd_pi:2fbDFX.Js+5Q?<@rOtbDS@5*4-;m|;7?s+E.0?SA7q1*F4GTfD
#@ 6APQB9R8EF/,--E2fbDFE?)E+s?VTA<k2DFw3BCF/YpJDkprp@u2rjB5#V<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+9nATA)acW@tLl?>^_'RH2'aaEkf0*FX.Js+5Q?<@j1Cx
#@ F4#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9nATA)acW@!_M!?^_'RH2'aaEk
#@ f0*FX.Js+5Q?<@j1CxF4#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RH
#@ Fta=6R<SF+7H:s+bUslH|_'RH2'aaEkf0*F=WrSA|uXt+Dn<@wLdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+i*=kA.0?SA-upcB6CQC+7xME+4f>0AqoW/D/dMTA6dV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+TOm0D^?Pm@#L-<@Kx5=I<H<:+LdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,;R?<@j1CxFBDZKAW<uK/y_xQH6dV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@!r1*FX1Bq@3QBfD1'nlBAc:s+Sd;EF
#@ d_pi:2fbDFKABX@IQ4(,Fx>UA^tsbD@@9<IT/f*F3f1EFtN0<IFx>UAVVBxF<O<:+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+VVmX?!@UZ?h?!eD7#Q)F-<XeDO_'RH2'aaEig?lBL?SF+?
#@ /nIDYg?lBL?SF+Sd;EFV6I?6R<SF+?/nIDxf0*F>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+&QYH94cB3@%lkcE*I.F+r^oRAD+t.D?D
#@ M&G(neZE86nlBsx;:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,ac.bE/k2D
#@ F%*)TA=y-fD/-R;@7?0bE1=,D+.5#D+7xME+.&ZKABvvwHKj2DF9)yhC(ZFID*F?<@:.G<F)
#@ Vi|DaCBq@85:JD.0?SA.K..D4.<cEsU;:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+O*S9,gHUkB@y1mB&BB3@7&lDF'Y#D+mxPSACo>9/?<VE+0g!iC>%lDF5d(E+%xRQB4H-<@
#@ 8ik)Fj64>wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+!*-NB940wE1>fC+0g
#@ !iCn0Bq@3QBfD&-B3@7q1*F1=,D+2'aaE4s@lB2l#j@,3K(FAq5DF,Jc^F4#V<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+)`H7AkWdlBs!cSA!r1*FX1Bq@3QBfD1'nlBCupT,;
#@ R?<@rOtbD@@9<IT/f*F3f1EFtN0<IKW8EF/,--E2fbDF8vV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+O!r<+e;o(F>eTfD0y1mB)|>0AqoW/D/dMTA%)|N@>JqcB&rjIDws@lB
#@ 2l#j@,3K(FAq5DF,Jc^F4#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq
#@ *RH:judD2KsJD<@9<I1k_?+j_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+l'9q@!0=JDd%+Y?!@UZ?h?!eD7#Q)F-<XeDO_'RHTH4h:2fbDF^_'RHFta=6R<SF+&
#@ sY8A.w=JD7<9:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F
#@ ,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+kQGaD*6B3@7%,!FvXIhCr2rjB31
#@ <:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:mui@c*m*EhjKZ?+oG)F(%ljBFu:s+Sd;E
#@ Fd_pi:2fbDFKABX@IQ4(,Fx>UA^tsbD@@9<I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>
#@ N<:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+tfE37.Si
#@ |D%osdD&=cSA03rjB:/ufA;%lD+.5#D+2'[email protected]<:+LdV<+LdV<+LdV<+LdV<+TX93I
#@ 6dV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+g@PQB/kYD+0<
#@ o(F1D5)F9R8EFtfR.D4LVE+7vOBD>%OBDmiJ(F7>d#H,y!A+%!iTA(&oC+i9MTA75:JD.0?S
#@ A7q1*Fn0Bq@3QBfD1'nlB:%0wEp!e=wLdV<+LdV<+LdV<+LdV<+LdV<+#y!A+0g!iCvX#SA9
#@ APQB//ufA/TB3@5|VkB37bWF/!yhC#s(5B6-=JD8C/`F@SkQB6xG<F/u'eDAq5DF1APQB940
#@ wEs;U?wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7
#@ ENRF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAp%Bx3PkC&Gi9XU6L:F:6yfT=+C_A*1q_
#@ xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+rTbOBmjW371?0bE^91u+3th?+VVmX?l'uS
#@ Ak.jY?moe^FDRm,E569:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+L
#@ dV<+>N<:+LdV<+LdV<+LdV<+LdV<+O*S9,f1+D+2'aaE4s@lB6;;-B*!nID>6ME+>OVE+'Z#
#@ j@06FiC0>ufAGE|8/8%MEF7/=JD%A#D+,=VE+AL,!F*6FiC9R8EF/,--E2fbDF6dV<+LdV<+
#@ LdV<+LdV<+LdV<+9MfCF4&=JDXd'RH2'aaEig?lBNQ4(,6dV<+LdV<+LdV<+LdV<+LdV<+9M
#@ fCFve-O:iZI9>VVmX?mxPSAf=ap@hp^LCQii-EA-SF+7Q?<@=fBfD:^7',L<7OCTZOl9=TK(
#@ F&D;:+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,(f-O:6!SF+:l:s+y_xQH6dV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+9MfCFve-O:iZI9>s9-x?4N#-E%SK;@+I7IDuC#D+EDJlBt'/=wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+7pZQHRm7#He43;I8s:E+XFPF+<#V<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+94:JD5L,!F3f1EF.w=JDjY`hB'h|7A6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+1=,D+|Kb'Gu`mdDJx5=I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:mui@ji+@
#@ Gmm'Z?#FRdDaCBq@K`;!F3f1EF.w=JDQ:nT,:'=JD>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+9MfCFve-O:iZI9>t*Z8AC>qT,(f-O:6!SF+.C;:+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_
#@ 'RHd8_S@72wj@fEi'F3f1EF.w=JDGF`cE.KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>#V<
#@ +LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+T@PF+!xMTA<
#@ H<:+LdV<+LdV<+LdV<+O*S9,iMaDF7RME+q?DTA1=,D++p/bE.bl(F?-)E+7xME+#dhTA/#V
#@ <+LdV<+LdV<+LdV<+)`H7A`Ro;@j7ap@<>;EFvO<JDU_'RH2'aaEig?lBL?SF+?/nIDYg?lB
#@ 6#R@wLdV<+LdV<+LdV<+>#V<+LdV<+>wO@wLdV<+LdV<+M96.:p-bS7)bTG;3x,48oZ+t5DW
#@ un7)Sje:4a94wLdV<+LdV<+4osZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ
#@ 4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ4kqtZ46dV<+LdV<+,2RB;`
#@ R-H;K&8Q8(c^K8Tb5D<xG=/:6dV<+LdV<+pB.p5'neZE6AccE!jmlB=FME+DLG<F)Vi|DaCB
#@ q@:/ufA.OZ8A6dV<+LdV<+9MfCFuNK;@h(fRA8@ME+h)fCF_OK;@h(fRA8@ME+IDvmBH.fK/
#@ 'ZPDF;o:s+VVmX?2'aaE4s@lBainW4eE`cEC!!mH0aH7Ab0mIDws@lBainW4eE`cEC!!mH0a
#@ H7A&>m#H#sJbE88.>HVcnW4eE`cEC!!mH0aH7AqJt_F4psZ4HRM`Fei+=wLdV<+LdV<+7pZQ
#@ H)G.>Hf44Y?vwviCrimlBCupT,&PK;@h(fRA@40<IRog?+j_xQH6dV<+LdV<+LdV<+s|*m6o
#@ |<JDtGegC05:JD6,aaEegpgCw1<:+LdV<+LdV<+JS)!F'ZB3@#XmIDws@lBBXME+cKxhCv_K
#@ Z?vwviCrimlBCupT,&PK;@h(fRA@40<I+fH7Ab0mIDws@lB)n!x48w`TAuB;:+LdV<+LdV<+
#@ JS)!F+)ZKA)6BfD6;)*Fl%u_F2Z'aE/5M&GiZ8bEk(ap@w1cSA'<XeDO_'RHk0cSA)6BfD6;
#@ )*F+C6mA&aVTAp-!eDmIBq@26sJDGdYpAm^|Z?mZ!iCT;;s+VVmX?tiE+E2fbDF1kd|G-Hac
#@ E&j<p>svV<+LdV<+LdV<+:tJTA4rjIDws@lBoDkl950J9>'ZPDFf7ap@w1cSA'<XeDO_'RHt
#@ uACF`*7hCI<SF+n'[email protected]=JD-Kt_F3dM?F;nY%,svV<+LdV<+LdV<+:tJTA.HegCpsY
#@ 8A.w=JD.UIID6;wLDfB6mA&aVTAp-!eDmIBq@26sJDGdYpAm^|Z?mZ!iCT;;s+VVmX?tiE+E
#@ 2fbDF-HacEC!!mH0aH7A&>m#H#sJbE88.>Hsg!x48w`TAuB;:+LdV<+LdV<+JS)!F'ZB3@<e
#@ m#HnEI9>'ZPDFf7ap@w1cSA'<XeDO_'RHtuACF`*7hCI<SF+n'9q@1;wLDwNTfD.'8UA-dM?
#@ F;nY%,|H8:+LdV<+LdV<+O*S9,mi^TA>AufA/TB3@2Y3'D=TK(F6dV<+LdV<+LdV<+9MfCF&
#@ N!iC7?&UAX)!=I6dV<+LdV<+LdV<+1=,D+Z0f*F>WT,E6LUZ?0g_5Bl4sY?njkSA@8gFE-oH
#@ q@l-?SA<Wk<+yEnT,Mkd|GMT<eDP?SF+7H:s+(FuSAy_xQHLdV<+LdV<+6HU6wLdV<+LdV<+
#@ LdV<+LdV<+Gd0L9jt2t5h^l)<!,vi9Bwwr66.U(<0c+H;=fx.:|vV<+LdV<+LdV<+LdV<+Me
#@ D!F'ZB3@(xMTAulSCFARME+cKxhCv_KZ?vwviCrimlB%gI9>eOjY?qu/fDX.fK/,:HSAI->h
#@ A.%VE+C/4iCm(fRA8@ME+AH-<@US*:@5%ME+&kbD+AGTfDkjX9@hQ/CFm-!eDmIBq@26sJDi
#@ 35SA*'BfDFXME+IDvmBH.fK/'ZPDF;o:s+VVmX?2'aaE4s@lB)n!x48w`TAq2I9>lj|Z?2|G
#@ )FUuA`F/1VE+.44Y?mxPSA7XME+cKxhCv_KZ?vwviCrimlBCupT,&PK;@h(fRA@40<I+fH7A
#@ !f:EF/,--E2fbDF-HacE&j<p>>qAkB/?0bE1D/kB&mSCFP15`4bLMEFs7/C+R?)E+Q+T7Anw
#@ 1Z?vwviCrimlB%gI9>lj|Z?=;2EFS;i@E/0fCF>qAkB/?0bE+fH7Ab0mIDws@lB)n!x48w`T
#@ Aq2I9>lj|Z?2|G)FUuA`F/1VE+.44Y?mxPSA7XME+cKxhCv_KZ?vwviCrimlBCupT,&PK;@h
#@ (fRA@40<I+fH7Ab0mIDws@lB)n!x48w`TAC=eK/'ZPDF;o:s+qKDTA'<XeDsUvgBlXS!?3Wu
#@ ZEe!7ID=J8_4bxk87J)s'<JorS._&:4wLdV<+LdV<+LdV<+LdV<+91VE+wZ5)FfIBq@@2l)F
#@ lQCkB/?0bEjf<iCulSCF3+uD+aN!iCa-IIDEaVE+IDvmBH.fK/tuACFL*qJDtLap@(Z5)FGm
#@ 6bEwdI9>Uy!Y?r.ap@w1cSA'<XeDrKQ3Bu|m*E@G)*FUuA`F/1VE+5KxhC8%DE+n'9q@tQ?<
#@ @=fBfDURk`4eRM`F&1-C+h)fCFs&=JDS;i@E/0fCFD7BoAg*;1C'o(*FlQCkB/?0bEjf<iCu
#@ lSCF3+uD+>#AhCd=ap@(Z5)FD%3j@fEi'F3f1EF.w=JD/dM?F;nY%,2->hA&aVTA:.N0ApxB
#@ q@26sJD+E0`FrXS!?RQdfDbx87As>ffDjf<iCulSCF3+uD+h)fCF(o5cEV+fK/,:HSAI->hA
#@ &aVTA9%3j@XqARA)6BfDURk`4eRM`F&1-C+h)fCFs&=JDS;i@E/0fCFD7BoAg*;1C'o(*FB7
#@ '=@#XmIDws@lBJL0<I>qAkB/?0bE1D/kB&mSCFP15`4X*V&,Y8/C+,!?nAh<..DlNjX.K--8
#@ 7g'@L:pa:p>6dV<+LdV<+LdV<+LdV<+6vV<+LdV<+LdV<+LdV<+1A`nAyq*RHlri<7%Q5cEC
#@ DZKAA!(aCRlN3I<H<:+LdV<+LdV<+LdV<+LdV<+JS)!F'ZB3@2rt_F=+ME+cKxhCv_KZ?vwv
#@ iCrimlBCupT,,O!iC!qbSA0&6cET;;s+*7pV@rNRdDkEIlB_|S<4,QUu+svV<+LdV<+LdV<+
#@ LdV<+LdV<+:tJTA.HegC(x7hC#KffD-!J9>+''fDm+|mA%_sY?vwviCrimlBCupT,,O!iC!q
#@ bSA0&6cEVMqT,,O!iC7?&UAw(/=wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQH)G.>Hf44Y?vwvi
#@ CrimlBCupT,,O!iC7?&UA:D0C+-du4I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)
#@ +VE+C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RHs#=/D=TK(F1aH7AqJt
#@ _FKF`cE.KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+1A`nA6uE'F
#@ /64eDd-!eDmIBq@26sJDB7'=@(xMTAulSCFIF0<IRog?+hi07-WJb'Gu`mdDJx5=I<H<:+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+=3rfAtIa:@+.,!FfIBq@BCDE+h)fCFg*;1Chd|Z?njkSAB7'=
#@ @(xMTAulSCFIF0<Iwn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+VVmX?009KD1kd|Gs
#@ cqkB!_|7AT/f*FfIBq@J7'<I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV
#@ <+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+DCZKA%@;kBGYU^,Mkd|GMT<eDP?SF+:l:s+ld1kB
#@ NNN3I<H<:+LdV<+LdV<+LdV<+LdV<+JS)!F'ZB3@2rt_F=+ME+cKxhCv_KZ?vwviCrimlBCu
#@ pT,,O!iC!qbSA0&6cET;;s+*7pV@rNRdDkEIlB_|S<47n0,1uB;:+LdV<+LdV<+LdV<+LdV<
#@ +JS)!F'ZB3@(xMTAulSCFARME+l`R.D!D+Y?,HX/Djf<iCulSCF3+uD+9ZhgC(x7hC#KffDO
#@ <SF+9ZhgC>kd|G*dw%?6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+u
#@ D+9ZhgC>kd|G*dw%?%P`H0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+qd1kB&mSCFF4O<@
#@ ktWU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+IDvmB?2qT,,O!iC7?&UA@2wj@`dp-DNgG<FAP
#@ x:I6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+@NncB)K.C>3'8UAdh`p
#@ @w1cSA'<XeDO_'RHwZ5)FfIBq@@2l)FZ-4s4buO6-y^'RH'%HW@<Jx:IDn<@wLdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+w^DTAn7>D+!qbSA0&6cEi35SAqU,W@slSCFh<..DF_'RHwZ5)FfIB
#@ q@@2l)FBUZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+l'9q@!0aaE'Kt_F-jENC&U2TA
#@ E_'RH!qbSA0&6cE>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+Ld
#@ V<+>#V<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE
#@ +C,aaEegpgC/y-fD.V#D+?NfCFuqCEF*'f^FHVeK/,:HSAU_'RHwZ5)FfIBq@@2l)F1aH7Aq
#@ Jt_FKF`cE.KQ@wLdV<+LdV<+LdV<+LdV<+LdV<+91VE+s#=/D=TK(FB7'=@(xMTAulSCFIF0
#@ <I6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+O*S9,91VE+s#=/D=TK(FlQCkB/?0bE
#@ jf<iCulSCF3+uD+>#AhCd=ap@(Z5)FD%3j@`dp-DlunW4eE`cE&j<p>6dV<+LdV<+LdV<+DC
#@ ZKA1V#D+<#V<+LdV<+LdV<+LdV<+O!r<+Z>FiC5ZFID*F?<@26sJDE?)E+:)QDFv=cSA:ik)
#@ F9mtD+(J::+LdV<+LdV<+LdV<+JS)!F'ZB3@#XmIDe*7hC5o5cErjX9@hQ/CFm-!eDmIBq@2
#@ 6sJDB7'=@#XmIDws@lBJL0<Ii35SA*'BfDFXME+IDvmBH.fK/'ZPDF;o:s+VVmX?tiE+E2fb
#@ DF-HacE&j<p>svV<+LdV<+LdV<+LdV<+:tJTA.HegC,R?<@&hfRA/NBfD.wJ9>Uy!Y?r.ap@
#@ w1cSA'<XeDqB6mA&aVTAp-!eDmIBq@26sJDGdYpAm^|Z?mZ!iCT;;s+VVmX?2'aaE4s@lBai
#@ nW4eE`cE&j<p>i35SA*'BfDFXME+IDvmBH.fK/'ZPDF;o:s+VVmX?2'aaE4s@lBainW4eE`c
#@ E&j<p>svV<+LdV<+LdV<+LdV<+1A`nA6uE'F/64eDd-!eDmIBq@26sJDB7'=@#XmIDe*7hC5
#@ o5cE;D0C+%p=>+>7G9>3'8UAdh`p@w1cSA'<XeDO_'RHwZ5)F3f1EFmZ!iC=;2EFBTQ6-y^'
#@ RHlri<7%Q5cEL@OBD73^BD1YY%,Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+^.)7@CJlcEtGegC
#@ ;7r_F=+ME+,HX/D5Q?<@=fBfD6;)*FE?)E+tiE+E2fbDF4#V<+LdV<+LdV<+LdV<+LdV<+:t
#@ JTA.HegC(x7hC#KffD-!J9>lj|Z?VVmX?!qbSA0&6cEH->hA;%lD+9ZhgC,R?<@&hfRA/NBf
#@ DP?SF+R?)E+9ZhgCpsY8AmZ!iC=;2EF&D;:+LdV<+LdV<+LdV<+LdV<+O*S9,RF=SAw2qcB/
#@ APQBwosdDmIBq@26sJD)`&(F5%@#H#pbSA,ZbDF4#V<+LdV<+LdV<+LdV<+LdV<+1A`nA6uE
#@ 'F/64eDd-!eDmIBq@26sJDB7'=@(xMTAulSCFIF0<IRog?+j_xQH6dV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+s|*m68APQB!KAkB/?0bE+S5D+1Yi|DaCBq@6`|N@jg|7A?*uD+.5#D+1^-x?mT
#@ <eDFR,!F/Fur@solRAtsY8A+S5D+>IG<F*_/wE'Z#j@>5cDF7O,D+.5#D+!qbSArTRdD1#V<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RHlri<7%Q5cECDZKAA!(aCJ#l<+^JqT,Mkd|GM
#@ T<eDP?SF+:l:s+ld1kBNNN3I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+=3rfAtIa:@`OY
#@ jBl-!eDmIBq@26sJD4YbDFQAqT,,O!iC!qbSA0&6cEX`xQH6dV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+rTdQ6hp^LC4P5cE1Z7hC#KffD;DblB.6|N@jg|7A?*uD+4ntD+-lTKD5#
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA:2GlBbW0`F*wJ9>3'8UAdh`p@w1cS
#@ A'<XeDrKQ3Bu|m*E7FME+IDvmBH.fK/p*RdDaCBq@M/qT,:ZbDF=!%%?svV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RHlri<7%Q5cECDZKAA!(aCJ#l<+yEnT,:'=JDbkT
#@ KDo&T<423W5I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,t.gAGsTGnA
#@ BXVE+5uC`F+BK(F4&=JD4b1`F;hm`C5H0bECBDE+wRH<@5APQBGmZB26dV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+.5|,Ec%vY?r.ap@w1cSA'<XeD(HegC>kd|G>/qT,:ZbD
#@ F?2R@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+;`4hCtgbnAyq*RHlri<7%Q5cEC
#@ DZKAB*C'DtAP_FLLO6-y^'RHWU-Z6>5cDF8jjZ4vN35I<H<:+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+O*S9,XIy7A87lD+mT<eDEIfZE,EvmB7+ME+4ntD+-lTKD<PpeD;%DE+
#@ 3#ZKA+IhgC*a';@hn0,16dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+.5|,Ec%
#@ vY?r.ap@w1cSA'<XeD(HegC>kd|G>/qT,:ZbDF?2R@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+|
#@ Kb'Gu`mdDJx5=I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:mui@ji+
#@ @Gmm'Z?1^-x?/*s+E&&oC+h)fCFg*;1Chd|Z?njkSAFx>UAEve#H&D;:+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+-#sJD8o(`F&#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+O*S9,f1+D+mT<eD<MqcB1WSkB=2q=+8kNBDp%oC+4f>0A2CQ
#@ C+w^DTAXp<>wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+91VE+s#=/D=TK(FB7'=@(xMTA
#@ ulSCFIF0<I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@YhFtFja0;@u@#D+1Q
#@ o(F@wf*Ff++:@;<ylB('WTAB7'=@2rt_FEt/<Il'9q@1kd|GHRM`F.O<:+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I6dV<+L
#@ dV<+LdV<+(2;4wLdV<+LdV<+LdV<+7pZQHSd;EF/,--E2fbDFi?n(F1oQ:I%P`H0ZPJF+?/n
#@ IDws@lBoDkl9,pMTAa85s4c*tlH|_'RH5R?r@i%u)F3dRF+-du4I<H<:+LdV<+LdV<+LdV<+
#@ G8-wEIXME+;f+T/+P/M/+P/M/@5VE:aCBq@/-R;@,8>D+:EF/D9!/F++P/M/+P/M/uAYt+6d
#@ V<+LdV<+LdV<+LdV<+FOMEF*?*^;3f1EF.w=JDAn3'D,pMTAY-oT,;R?<@=fBfD6;)*Fi%u)
#@ F5!4(,6dV<+LdV<+LdV<+LdV<+FOMEFqjW37)6BfD6;)*F6Jo(FCf2b3Fx>UA)6BfD6;)*Fi
#@ %u)F5!4(,6dV<+LdV<+LdV<+LdV<+FOMEF*?*^;*qbOB0j7lB<ik)F6Jo(FCf2b3T/f*Fd'/
#@ k9,pMTA/nj6wLdV<+LdV<+LdV<+LdV<+7pZQHGDiTA.NP)Fa/oW4,du4I<H<:+LdV<+LdV<+
#@ LdV<+LdV<+G8-wEIXME+a0:oA'%U;@26sJDC>'|F3uJTAgtJ7Af-DTA:<ylB'<XeDEsC9G2k
#@ >D+QXfTA.NP)FAi)!F/Fur@*,:eD;VwfD(H>0Ap*ljB-Pj6wLdV<+LdV<+LdV<+LdV<+>#V<
#@ +LdV<+LdV<+>wO@w6dV<+LdV<+LdV<+9MfCFw@XU@aPs/D9u:s+/x-)2a&n*1KOj6wLdV<+L
#@ dV<+LdV<+DP)*F.AnHD+P/M/+P/M/s/#>+kv;EF*wTCF3+uD+oDF/D9!/F++P/M/+P/M/uAY
#@ t+6dV<+LdV<+LdV<+FOMEFJ`;!FS^v>+3'8UAu/4iC<<9:+LdV<+LdV<+G8-wEIXME+oY/T8
#@ W%*!FtO+Y?:A.#H9mtD+&kbD+6>;EFdUfRA6<9:+LdV<+LdV<+G8-wEIXME+:!DE+Bt'eD+p
#@ NaE'*v/D(<9:+LdV<+LdV<+G8-wEIXME+>a5D+Lx-fD1Z7hC(0q:@*FV5B8vV<+LdV<+LdV<
#@ +GH8`FKr:s+9yeK/?-)E+n0Hr@u|p:@*FV5B8vV<+LdV<+LdV<+GH8`FKr:s+7VeK/?-)E+n
#@ 0Hr@u|p:@+QYKAjgpgC(<9:+LdV<+LdV<+G8-wEIXME+C-lD+Lx-fD+*Z4B-!WTA1QiSA(a<
#@ JD*<9:+LdV<+LdV<+G8-wEIXME+F?2E+Lx-fD+*Z4B-!WTA6oATAuafq@%<XeD1<9:+LdV<+
#@ LdV<+G8-wEIXME+<aGD+Lx-fDELMEFFaVE+*shTA59XeD1<9:+LdV<+LdV<+G8-wEIXME+31
#@ |C+Lx-fD;SsiCudtjB7<9:+LdV<+LdV<+G8-wEIXME+6a(E+AnsdDsQ<JD%<XeD(JbD+mZ!i
#@ C8vV<+LdV<+LdV<+GH8`FKr:s+IneK/'_c|G+T*+E&FV5B6qCEF*'f^F'&j6wLdV<+LdV<+L
#@ dV<+DP)*FbVlO.1#uc9>,?0AqoW/D33elB26sJD7/aaEwFV5B8vV<+LdV<+LdV<+GH8`FKr:
#@ s++P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/uAYt+6dV<+LdV<+LdV<+
#@ FOMEF!C6mA'%U;@U_'RHJefU7'%U;@U;;s+MZ!iC^0-.D@(8s+kv;EF*wTCF1oG)FuB;:+Ld
#@ V<+LdV<+G8-wEIXME+uO/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M
#@ /vH8:+LdV<+LdV<+JS)!F5.j|DhVSaDBxVE+:;9:+LdV<+LdV<+JS)!F5.j|D@Bhm7:Zg6w6
#@ dV<+LdV<+LdV<+pB.p5=G.#H+oreDvu:-Bw3=JD#ZFID)uJTA&f:-Bw3=JD&osdD?AccEwfb
#@ DFC+dfD.0?SA+f<iCulSCF1oG)F6dV<+LdV<+LdV<+1=,D+Ly>UA4Qk_Fuigm7b`xQH6dV<+
#@ LdV<+LdV<+LdV<+,2RB;%BsiC#f#j@!:_LC)fACF+S5D++oG)F(%ljB,<L?wLdV<+LdV<+Ld
#@ V<+LdV<+7pZQHX<n#H8&Z)F3xm*E2<BbEh67ID(8x:I8s:E+jUmX?w:7hCMGPF+<#V<+LdV<
#@ +LdV<+LdV<+LdV<+O!r<+`nG)F(%ljBBXME+4CDE+(J::+LdV<+LdV<+LdV<+LdV<+JS)!F)
#@ l#j@(Z5)F'FH9>'ZPDFf7ap@w1cSA'<XeDO_'RHtuACF`*7hCI<SF+n'[email protected]=JD/dM
#@ ?F9y#D+H/wj@fEi'F*qbOB0j7lBx+Y`4eRM`Fei+=wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQH
#@ )G.>Hf44Y?vwviCrimlBCupT,'+7hC6?hm7Z-4s4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+1A`nAyq*RHWG!QANF'<I1k_?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+(d|7
#@ ApxBq@YhFtFja0;@u@#D+1Qo(F@wf*Fh=ap@!:_LChfACFj7=Y?mxPSA7XME+:QDs@vqoRAD
#@ I|s@(Z5)FQU4=I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+*Q*+EtsY8A7AK(FdMy'EvtG
#@ SA)u=.DF=8s+#k|Z?n0Hr@_qr:@*FV5Bg*;1C'o(*FLH&yGu|D9A^:i?>lj|Z?mZ!iC7y%XH
#@ h)fCFg*;1Chd|Z?njkSADI|s@(Z5)F14#%?_eM!?8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+:tJTAEC/`F@ee@+H<!j@*<9:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DP)*FP`NgDcl^4AT`;!Fh=ap@!:_LChfACFj7=Y?m
#@ xPSA7XME+:QDs@vqoRA`US3Bh=ap@(Z5)FtT0C>lj|Z?mxPSAqK7IDuC#D+4'gRAx'xZ6Jb;
#@ x>%Hew,6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+@NncB
#@ )K.C>w:7hCtun(FbR'Z?:WBfDvd*+EbvO:@ti&fDpDg9I<H<:+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+@NncBGYU^,m)wK;6AccE9psZ4esPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@mZQH#k|Z?v%)oAhfACFj7=Y?mxPSA7XME+:
#@ QDs@vqoRADI|s@(Z5)FQU4=I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+*Q*+EtsY
#@ 8A7AK(FdMy'EvtGSA)u=.DF=8s+#k|Z?v%)oAhfACFj7=Y?mxPSA7XME+:QDs@vqoRA`US3B
#@ h=ap@(Z5)FtT0C>lj|Z?mxPSAqK7IDuC#D+4'gRAx'xZ6Jb;x>%Hew,6dV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+9MfCFELMEFCB9s+PU2`FHYH7IH1,6B8vV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+G8-wEI
#@ XME+PU2`FkoP:I<%KTA#4MTAe7#4Bw3=JDdU,W@slSCFGw#MA4Qk_FgTdT>h)fCF`*7hC(*e
#@ T>o45SAqU,W@slSCFh<..DF_'RHmZ!iCGYH7I_j|^>u;9:+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+TX93I6d
#@ V<+LdV<+LdV<+LdV<+LdV<+C+H)F)l#j@(Z5)F+V::+LdV<+LdV<+LdV<+TX93I1D5)F,n<@
#@ wLdV<+LdV<+LdV<+LdV<+LdV<+lWPaD?AccEwfbDF5,:eD=2iU66dV<+LdV<+LdV<+LdV<+L
#@ dV<+9MfCF!*7hC6?hm7lQCkB/?0bEjf<iCulSCF3+uD+>#AhCd=ap@(Z5)F^dBm-VVmX?tiE
#@ +E2fbDF-HacEC!!mH0aH7A&>m#H#sJbE88.>Hsg!x48w`TAai07-(pTZ?(gVTA&3BCFXb`p@
#@ !:_LChfACFj7=Y?mZ!iCcYh:@3hY%,svV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RHWG!QANF
#@ '<I1k_?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+uD
#@ +4'gRAx'xZ6cC0C+-du4I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C
#@ ,aaEegpgC/y-fD.V#D+?NfCFjoreDaF#4Bw3=JDdU,W@slSCFGw#MA4Qk_F/ZpT,'+7hC6?h
#@ m7FO<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Cij`CsT2TA1neZE6AccEZ>FiC'nHt-*:d:.
#@ <%KTAogwhCi.aY?rcmlBp-RdDaCBq@V+fK/w^%hC+)#D+P45SAh(fRA8@ME+f^T3BtTRdDaC
#@ Bq@oF|;@8ZpT,'+7hC6?hm7+'^9Iybi6wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+qd1k
#@ B&mSCFF4O<@ktWU@,MNBD(RMTA@2NTA#4MTAe7#4Bw3=JDdU,W@slSCFEgAk@qN`aEDI|s@(
#@ Z5)FQU4=I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+*Q*+EtsY8A7AK(FdMy'EvtGSA)u=
#@ .DF=8s+#k|Z?v%)oAhfACFj7=Y?mxPSA7XME+.jbSAq&eT>h)fCF`*7hC(*eT>o45SAqU,W@
#@ slSCFh<..DF_'RHmZ!iCGYH7I_j|^>u;9:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&
#@ TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFpZ7hCZqr:@*FV5Bg*;1C'o(*FLH&yGu|D9AF_'R
#@ HmZ!iCGYH7I>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+/&I;@+dmID(oATAg'q#6-a%lB!
#@ lkj@<iU=+;MfCFpZ7hCZqr:@*FV5Bg*;1C'o(*FLH&yGu|D9A^:i?>lj|Z?mZ!iC7y%XHh)f
#@ CFg*;1Chd|Z?njkSADI|s@(Z5)F14#%?_eM!?8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ :tJTAEC/`F@ee@+H<!j@H#*!F*<9:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Le_WF2NfCF!*
#@ 7hC6?hm76dV<+LdV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+TX93I1D5)
#@ F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQH)G.>Hf44Y?vwviCrimlBCupT,'+7hC6
#@ ?hm7Z-4s4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTA)jcRAx'xZ6KKQ3BtTR
#@ dDaCBq@oF|;@oKI9>'ZPDFf7ap@w1cSA'<XeDO_'RHtuACF`*7hCI<SF+3#I7Ab0mIDws@lB
#@ )n!x48w`TAZPJF+VVmX?5R?r@/QsJD2Q0bE-HacEElU=+L`ncB!I<JD*sAbEkj|7AjoreDaF
#@ #4Bw3=JDx(fRAtU!x4s85)FcXS!?6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+FOMEFT_'R
#@ Hn)|T8E;;s+#k|Z?n0Hr@_qr:@*FV5Bg*;1C'o(*FLH&yGu|D9A^:i?>lj|Z?mZ!iC7y%XH4
#@ 'gRAx'xZ6j1_9Iybi6wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DP)*FP`NgDcl^4AT`;
#@ !F#wj'Fc+4Y?rcmlBp-RdDaCBq@V+fK/b7a:@k:i?>lj|Z?mZ!iC7y%XH4'gRAx'xZ6j1_9I
#@ ybi6wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DP)*FP`NgDcl^4AT`;!F#wj'Fc+4Y?rc
#@ mlBp-RdDaCBq@V+fK/w^%hC+)#D+P45SAh(fRA8@ME+Cr*RHmZ!iCGYH7I_eM!?8vV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+E'RKD91VE+mZ!iC)Id:wLdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+>#V<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV
#@ <+O!r<+Y@oC+%B#j@!:_LC)fACF+S5D++6viC-#sJD2I-<@6;)*F6dV<+LdV<+LdV<+DCZKA
#@ 1V#D+<#V<+LdV<+LdV<+LdV<+O!r<+^Z*JD)D)P@jg|7A!X|;@u@#D++oG)F(%ljB52ufA1f
#@ #j@!:_LC)fACFj64>wLdV<+LdV<+LdV<+LdV<+7pZQHX<n#H8&Z)F3xm*E2<BbEh67ID(8x:
#@ I8s:E+jUmX?w:7hCMGPF+<#V<+LdV<+LdV<+LdV<+LdV<+O!r<+`nG)F(%ljBBXME+4CDE+(
#@ J::+LdV<+LdV<+LdV<+LdV<+JS)!F)l#j@(Z5)FEToT,,O!iCtiE+E2fbDFsgY8A5R?r@>#V
#@ <+LdV<+LdV<+LdV<+LdV<+:tJTAHR8EFd_pi:7FME+h)fCFs&=JDS;i@E/0fCFD7BoAg*;1C
#@ 'o(*FlQCkB/?0bEjf<iCulSCF3+uD+>#AhCd=ap@(Z5)FD%3j@fEi'F3f1EF.w=JD0psZ4HR
#@ M`F&1-C+5KxhC8%DE+.&TbErimlBwy!x4Gs7',svV<+LdV<+LdV<+LdV<+LdV<+:tJTAHR8E
#@ Fd_pi:BXVE+h)fCF(o5cEV+fK/,:HSAI->hA&aVTA9%3j@fEi'F3f1EF.w=JD/dM?F;nY%,s
#@ vV<+LdV<+LdV<+LdV<+LdV<+:tJTA.HegCaF><@.wJ9>Uy!Y?r.ap@w1cSA'<XeDO_'RH2'a
#@ aEhp;JDVMqT,;R?<@rOtbD8gw%?6dV<+LdV<+LdV<+LdV<+LdV<+6vV<+LdV<+LdV<+LdV<+
#@ LdV<+1A`nAyq*RHWG!QANF'<I1k_?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+*L
#@ dlB,7DoAjf<iCulSCF3+uD+9ZhgCaF><@8gw%?%P`H0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+qd1kB&mSCFF4O<@ktWU@,MNBD([email protected]?rcmlBf(BRAjgpgC9
#@ w#MA4Qk_F/ZpT,,O!iCg&aaEE>R@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQH)G.
#@ >Hf44Y?vwviCrimlBCupT,;R?<@rOtbD8gw%?%P`H0XE8=+)G.>Hf44Y?vwviCrimlBCupT,
#@ ;R?<@ln&iB3dw%?%P`H0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+%6|,EwA
#@ oC+6#;EF`*y,EkI|7A)gP8AU_;!Fh=ap@!:_LChfACFj7=Y?c.XU@@VeK/w^%hC+)#D+Iy87
#@ As>ffDjf<iCulSCF3+uD+P45SAh(fRA8@ME+f^T3BtTRdDaCBq@oF|;@8ZpT,;R?<@ln&iB3
#@ dw%?_eM!?`US3Bu|m*E@G)*FtT0C>lj|Z?mxPSAqK7IDuC#D+Sd;EFd_pi:JL9<I_j|^>ZGe
#@ w,6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DCZKA%@;kB)K.C>3'8UAdh`p@w1cSA'<XeD
#@ O_'RH2'aaEhp;JD:D0C+-du4I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Cij`CsT
#@ 2TA1neZE6AccEZ>FiC'nHt-*:d:.<%KTAogwhCi.aY?rcmlBf(BRAjgpgC9w#MA4Qk_FgTdT
#@ >h)fCFs&=JD7y%XHh)fCFg*;1Chd|Z?njkSAT/f*F3f1EFgyG)F+'^9Iybi6wLdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+;`4hCtgbnA6uE'F/64eDd-!eDmIBq@26sJDT/f*F3f1EFr:2
#@ EFZ-4s4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+/&I;@+dmID(oATAg'q#6
#@ -a%lB!lkj@<iU=+;MfCFjoreDaF#4Bw3=JDf0mIDagbSALH&yGu|D9A^:i?>lj|Z?=;2EF7y
#@ %XHh)fCFg*;1Chd|Z?njkSAT/f*F3f1EFr:2EF+'^9Iybi6wLdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTAEC/`F@ee@+:;9:+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+1A`nA6uE'F/64eDd-!eDmIBq@26sJDT/f*F3f1EFr:2EFZ-4s4buO6-
#@ 6bB'F/64eDd-!eDmIBq@26sJDT/f*F3f1EFgyG)FZ-4s4dpPF+<#V<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+GH8`FM/qT,KMMEFlcRF+;MfCFjoreDaF#4Bw3=JDf0mIDagbSALH&yGu|D9
#@ A^:i?>Uy!Y?r.ap@w1cSA'<XeDg:i?>lj|Z?mZ!iC7y%XHh)fCFg*;1Chd|Z?njkSAT/f*F3
#@ f1EFgyG)F+'^9IW<dT>h)fCF(o5cE0*eT>o45SAqU,W@slSCFh<..DF_'RH2'aaErc4cEvc;
#@ x>_T:x>u;9:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F!>,D+*LdlB,7DoAjf<iCulSCF
#@ 3+uD+Sd;EFd_pi:?:0<IRog?+j_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+FOMEFT_
#@ 'RHn)|T8E;;s+#k|Z?n0Hr@_qr:@*FV5B`X|;@u@#D+:QDs@vqoRA`US3Bu|m*E7FME+f^T3
#@ BtTRdDaCBq@oF|;@8ZpT,;R?<@ln&iB3dw%?_eM!?8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+T
#@ @PF+!xMTA7pZQH)G.>Hf44Y?vwviCrimlBCupT,;R?<@rOtbD8gw%?%P`H0Dn<@wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+DP)*FP`NgDcl^4AT`;!Fh=ap@!:_LChfACFj7=Y?c.XU@
#@ @VeK/w^%hC+)#D+P45SA*'BfDFXME+f^T3BtTRdDaCBq@oF|;@8ZpT,;R?<@rOtbD8gw%?_e
#@ M!?8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<
#@ +LdV<+LdV<+LdV<+1A`nA6uE'FrZ!iCgpTZ?vp/CF-a_5B#C.QBfgggCl<c8A,ORF+<#V<+L
#@ dV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RHWG!QANF'<I1k_?+k_xQH6dV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+uD+4'gRAx'xZ6cC0C+-du4I<H<:+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?N
#@ fCFpZ7hCZqr:@*FV5Bg*;1C'o(*FLH&yGu|D9AF_'RHmZ!iCGYH7I>#V<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+/&I;@+dmID(oATAg'q#6-a%lB!lkj@<iU=+;MfCFpZ7hCZqr:@*
#@ FV5Bg*;1C'o(*FLH&yGu|D9A^:i?>lj|Z?mZ!iC7y%XHh)fCFg*;1Chd|Z?njkSADI|s@(Z5
#@ )F14#%?_eM!?8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTAEC/`F@ee@+R`NgD
#@ @Bhm7^#*!F*<9:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nA6uE'F/64eDd-!e
#@ DmIBq@26sJDDI|s@(Z5)F14#%?%P`H0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+DP)*FP`NgDcl^4AT`;!F#wj'Fc+4Y?rcmlBp-RdDaCBq@V+fK/w^%hC+)#D+P45SAh(f
#@ RA8@ME+f^T3BtTRdDaCBq@oF|;@8ZpT,'+7hC6?hm7+'^9Iybi6wLdV<+LdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+Ld
#@ V<+>#V<+LdV<+LdV<+LdV<+LdV<+E'RKD91VE+mZ!iC)Id:wLdV<+LdV<+LdV<+LdV<+LdV<
#@ +3aMTA.HegCaF><@24<:+LdV<+LdV<+LdV<+LdV<+Le_WF2NfCF5Q?<@rOtbD24<:+LdV<+L
#@ dV<+LdV<+LdV<+Le_WF2NfCF5Q?<@ln&iB-1<:+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV
#@ <+LdV<+LdV<+LdV<+LdV<+lWPaD?AccEwfbDF5,:eD=2iU66dV<+LdV<+LdV<+LdV<+LdV<+
#@ 9MfCF!*7hC6?hm7lQCkB/?0bEjf<iCulSCF3+uD+9ZhgCpsY8A.w=JD.UIID6;wLDD;;s+qp
#@ YjB&1Z4Bw@VTA_gwhCi.aY?rcmlBdk`p@Gqv`4=pggCwj<p>6dV<+LdV<+LdV<+LdV<+LdV<
#@ +1=,D+KS#:Af6J(FP9SF+BV&d0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+7pZQH)G.>Hf
#@ 44Y?vwviCrimlBCupT,'+7hC6?hm7Z-4s4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+O!r<+`nG)F(%ljB52ufAAL,!F*6FiC%rjIDagbSA9mtD+.5#D+tiE+E2fbDF4#V<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@mZQH#k|Z?n0Hr@_q
#@ r:@*FV5Bg*;1C'o(*FBCkIDagbSA.>2`F'&#D+c.XU@m0m*[email protected]+4!?r@vqoRADI|s
#@ @(Z5)FQU4=I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCF:&xFDs2K(Fi35SAqU,W@s
#@ lSCFh<..DF_'RHmZ!iCGYH7IsvV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+/&I;@+dmID(oA
#@ TAg'q#6-a%lB!lkj@<iU=+;MfCFjoreDaF#4Bw3=JDdU,W@slSCFGw#MAjgpgCs8|fD'bfRA
#@ !X|;@_%+Y?sR'Z?:A.#HFx:JDu|D9A^:i?>lj|Z?mZ!iC7y%XHKVhm7njkSAA2_9Iybi6w6d
#@ V<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+-;m|;nw|@+Gu7Q8oleQ6fOZ)<L`x.:H%;:+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+LdV<+IJcZEq&TbE)+VE+C,aaEegpgC/y-fD.V#D+?NfCFpZ7hCZ
#@ qr:@*FV5Bg*;1C'o(*F>%#hC%nCE+4'gRAx'xZ6m=R@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+%6|,EwAoC+6#;EF`*y,EkI|7A)gP8AU_;!F#wj'Fc+4Y?rcmlBp-RdDaCBq@V+fK/
#@ b7a:@k:i?>lj|Z?mZ!iC7y%XHKVhm7njkSAA2_9Iybi6wLdV<+LdV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+qd1kB&mSCFF4O<@ktWU@,MNBD(RMTA@2NTA#4MTAe7#4Bw3=JDdU,W@slSCFGw#M
#@ A4Qk_F/ZpT,'+7hC6?hm7FO<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+Cij`CsT2TA1neZE6
#@ AccEZ>FiC'nHt-*:d:.<%KTA#4MTAe7#4Bw3=JDdU,W@slSCFGw#MA4Qk_FgTdT>h)fCF`*7
#@ hC(*eT>*)Lx6P<..D)x^^>%Hew,6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCFELMEF
#@ CB9s+6icRAH1,6B8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+Ld
#@ V<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+rTdQ6hp^LC(*;1C'o(*FE?)E+l'uS
#@ [email protected]?SA,oW/DmgY8A2osdDwyBq@0H-@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+r|'QA&
#@ f:-Bw3=JD6.<cE6;)*F6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulS
#@ CF3+uD+4'gRAx'xZ6cC0C+-du4I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+G8-wEIXME+
#@ PU2`FkoP:I<%KTAogwhCi.aY?rcmlBp-RdDaCBq@V+fK/c.XU@pKi'F1^cr@%rjIDagbSAs&
#@ =JDu/4iCQH:eB*Qk_FgTdT>h)fCF`*7hC(*eT>*)Lx6P<..D)x^^>%Hew,6dV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+FOMEFT_'RHn)|T8E;;s+#k|Z?v%)oAhfACFj7=Y?mxPSA7XME+.
#@ jbSAq&eT>h)fCF`*7hC(*eT>JJ|s@(Z5)F0+|^>%Hew,6dV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+FOMEFT_'RHn)|T8E;;s+#k|Z?v%)oAhfACFj7=Y?mxPSA7XME+:QDs@vqoRA`US3B
#@ h=ap@(Z5)F=cW^,'+7hC6?hm7*k|^>u;9:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+Ld
#@ V<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+Le_WF2NfCF!*7hC6?hm76dV<+LdV<
#@ +LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+O*S9,YgX8A7O,D+c.XU@!?#j@<>;EFvO<JD6,:eD.
#@ TvhC*JYD+rcmlB!b;:+LdV<+LdV<+TX93Iu!V<+LdV<+LdV<+1A`nA6uE'F/64eDd-!eDmIB
#@ q@26sJDB7'=@#[email protected]<@6Mm#H#4w%?%P`H0Dn<@wLdV<+LdV<+LdV<+LdV<+kTY'E
#@ Bd.F+-#cDF8JfCF(D#D+u@oC+t!MkB6?0bE1)0bErEV5B-#sJD2I-<@6;)*F9mtD+%0SQB?_
#@ 9fD+1<:+LdV<+LdV<+LdV<+@NncBGYU^,m)wK;6AccE9psZ4esPF+<#V<+LdV<+LdV<+LdV<
#@ +LdV<+1A`nAyq*RHw^%hC|BsI;'*v/DLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+:tJTAs
#@ 3*eD+pNaE'*v/DF_'RHk0cSA)6BfD6;)*Fs/B(F?/I:Ij!r<+n0VE+-#cDFvKfCF(D#D+=@,
#@ !F(!`TAwh0;@!jcRAvwL?wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+%6|,EwAoC+6#;EF`*y,E
#@ kI|7A)gP8AU_;!FiF'7A(1^Z?,pmlBpTdT>h)fCF`*7hC(*eT>o45SAqU,W@slSCFh<..DF_
#@ 'RHk0cSA)6BfD6;)*Fs/B(F?/I:I_j|^>u;9:+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<
#@ +LdV<+LdV<+LdV<+(d|7ApxBq@YhFtFja0;@u@#D+1Qo(F@wf*FvpNaEu8o(Fv_KZ?#R%6B+
#@ S5D+9ZhgCpsY8A.w=JD.UIID6;wLD3MufArZMTA>#V<+LdV<+LdV<+LdV<+LdV<+/&I;@+dm
#@ ID(oATAg'q#6-a%lB!lkj@<iU=+;MfCFj*Z4B-!WTApdkSA(a<JD`:i?>lj|Z?mZ!iC7y%XH
#@ h)fCFg*;1Chd|Z?njkSAB7'=@#[email protected]<@6Mm#H#4w%?_eM!?uoggC&&j6wLdV<+Ld
#@ V<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+Ly>UA4Qk_FM)!QA'.lS
#@ Ab`xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+FOMEFT_'RHn)|T8E;;s+#k|Z?-#cDFvKfCF(
#@ D#D+P45SAh(fRA8@ME+f^T3BtTRdDaCBq@oF|;@8ZpT,,O!iCtiE+E2fbDFsgY8A5R?r@+'^
#@ 9Iybi6wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+GH8`FM/qT,KMMEF
#@ lcRF+;MfCFj*Z4B-!WTApdkSA(a<JD`:i?>lj|Z?mZ!iC7y%XHh)fCFg*;1Chd|Z?njkSAB7
#@ '=@#[email protected]<@6Mm#H#4w%?_eM!?uoggC&&j6wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<
#@ +LdV<+LdV<+1A`nAyq*RH3'8UAo@.#H`j07-T/f*F>WT,E6LUZ?0g_5Bl4sY?njkSA@8gFE-
#@ oHq@l-?SADKN3I<H<:+LdV<+LdV<+LdV<+LdV<+O*S9,c)y,EEa,!F5k#D+:A.#H-#sJD2I-
#@ <@8LVE+_ScN7YvV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RHWG!QANF'<I1k_?+k_xQH6dV<+
#@ LdV<+LdV<+LdV<+LdV<+LdV<+(d|7ApxBq@YhFtFja0;@u@#D+1Qo(F@wf*F#wj'FtO+Y?:A
#@ .#HB7'=@#[email protected]<@6Mm#H;aRF+.X|;@_%+Y?6<seDx:|7AhfACFh-DTA+RQ<@@w#M
#@ AjgpgCc-!eD.R?<@ua#4Bf=+Y?+''fD0JbD+.X|;@_%+Y?+oG)F/NbDF(fTfDfvs:@26sJD>
#@ #V<+LdV<+LdV<+LdV<+LdV<+LdV<+E'RKD91VE+1Qo(F&#V<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+/&I;@+dmID(oATAg'q#6-a%lB!lkj@<iU=+;MfCF-G.>HnlrJD.<eT>h)fCF`*7hC(*eT>
#@ o45SAqU,W@slSCFh<..DF_'RHk0cSA)6BfD6;)*Fs/B(F?/I:I_j|^>1w#MAjgpgCt;TKDmC
#@ 97Ay1#4Bf=+Y?+''fD0JbD+.X|;@_%+Y?+oG)F/NbDFhfACFh-DTA+RQ<@@w#MAjgpgCc-!e
#@ D.R?<@)^m*E*.p;@rcmlB+Aj6wLdV<+LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+FOMEFT_'RHn)|T8E;;s+#k|Z?3'8UAu/4iCr:i?>lj|Z?mZ!iC7y%
#@ XHh)fCFg*;1Chd|Z?njkSAB7'=@#[email protected]<@6Mm#H#4w%?_eM!?BCkIDagbSA&B4iC
#@ f4oRAXqr:@vCNaE/5M&G<keK/c.XU@`e`p@<>;EFo0^Z?n?VTAp`R.D*LhgCBCkIDagbSAl#
#@ sJD.XIID-B>cEta54B%<XeD1<9:+LdV<+LdV<+LdV<+LdV<+LdV<+O*S9,DP)*FP`NgDcl^4
#@ A^_'RH)2R:I6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I1D5)F!>,D+Z
#@ 0f*F>WT,E6LUZ?0g_5Bl4sY?njkSA@8gFE+c6q@l-?SADKN3I<H<:+LdV<+LdV<+LdV<+LdV
#@ <+O*S9,xpKLC:U/*F'fAoA+*Z4B-!WTA6oATAuafq@%<XeD.WrSANm4BGu-cOB%'Q<@e(XU@
#@ 'upcB7&WU66dV<+LdV<+LdV<+LdV<+LdV<+1=,D+KS#:Af6J(FP9SF+BV&d0Dn<@wLdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+#k|Z?t!MkB6?0bEs&O+EfvO:@26sJDF@BTAuafq@'Y#D+s85)
#@ FF_'RHk0cSA)6BfD6;)*Fs/B(F?/I:I6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+*Q*+EtsY8A7
#@ AK(FdMy'EvtGSA)u=.DF=8s+#k|Z?t!MkB6?0bEs&O+EfvO:@26sJDF@BTAuafq@'Y#D+s85
#@ )F^:i?>lj|Z?mZ!iC7y%XHh)fCFg*;1Chd|Z?njkSAB7'=@#[email protected]<@6Mm#H#4w%?
#@ _eM!?8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RHw^%hCXj@k9)ZSF+<#V<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+:tJTA-Kt_F#qKV@#URdD4<XeDP+fK/w^%hC+)#D+9ZhgCpsY8
#@ A.w=JD.UIID6;wLDOIfK/'n54Bj?C:@(m<JD`xggC-#V<+LdV<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+/&I;@+dmID(oATAg'q#6-a%lB!lkj@<iU=+;MfCF%TsiCudtjB**T,E26sJDGw#MA4Qk
#@ _FgTdT>h)fCF`*7hC(*eT>o45SAqU,W@slSCFh<..DF_'RHk0cSA)6BfD6;)*Fs/B(F?/I:I
#@ _j|^>?MJvFnF5SAsuHID!FV5BeN!iC8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+Ld
#@ V<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+FOMEFT_'RHn)|T
#@ 8E;;s+#k|Z?t!MkB6?0bEs&O+EfvO:@26sJDF@BTAuafq@'Y#D+s85)F^:i?>lj|Z?mZ!iC7
#@ y%XHh)fCFg*;1Chd|Z?njkSAB7'=@#[email protected]<@6Mm#H#4w%?_eM!?8vV<+LdV<+LdV
#@ <+LdV<+LdV<+LdV<+1A`nAyq*RHw^%hCXj@k9)ZSF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+
#@ LdV<+GH8`FM/qT,KMMEFlcRF+;MfCF%TsiCudtjB**T,E26sJDGw#MA4Qk_FgTdT>h)fCF`*
#@ 7hC(*eT>o45SAqU,W@slSCFh<..DF_'RHk0cSA)6BfD6;)*Fs/B(F?/I:I_j|^>?MJvFnF5S
#@ AsuHID!FV5BeN!iC8vV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+L
#@ dV<+>#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+g'agBogpgC6C/`FEPMEF:vD
#@ &G+<elB9qi|DG*k#H#sJbE88.>H!6L?wLdV<+LdV<+LdV<+LdV<+7pZQH)G.>Hf44Y?vwviC
#@ rimlBCupT,,O!iC5R?r@Z-4s4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+1A`nAyq*RHWG!QANF
#@ '<I1k_?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+9MfCFj*Z4B-!WTA-W1`FEPMEFw#D&
#@ G+<elBCupT,,O!iC5R?r@1Xg:@p9v>wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+%6|,EwAoC+6
#@ #;EF`*y,EkI|7A)gP8AU_;!FvpNaEu8o(Fv_KZ?@5--E)tYjB3E0bE16sJD`US3Bh=ap@(Z5
#@ )FtT0C>lj|Z?mxPSAqK7IDuC#D+9ZhgC>;wLDfb;x>#6/C+s85)F(<9:+LdV<+LdV<+LdV<+
#@ LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DP)*FP`NgDcl^4AT`;!Fvp
#@ NaEu8o(Fv_KZ?@5--E)tYjB3E0bE16sJD`US3Bh=ap@(Z5)FtT0C>lj|Z?mxPSAqK7IDuC#D
#@ +9ZhgC>;wLDfb;x>#6/C+s85)F(<9:+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+L
#@ dV<+>N<:+LdV<+LdV<+LdV<+O*S9,b#n(F.Nt!H+T*+EE?)E+Gw&F+3DccE,&MEF)5:JD+*Z
#@ 4B-!WTAFXG<F*%VE++ZsiCmcZRAn0Bq@3QBfDh^m=wLdV<+LdV<+LdV<+LdV<+7pZQH)G.>H
#@ f44Y?vwviCrimlBCupT,*tY8A.w=JD!qQyF?D0C+%p=>+yEnT,Mkd|GMT<eDP?SF+7H:s+(F
#@ uSAy_xQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+KS#:Af6J(FP9SF+BV&d0Dn<@wLdV<+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+qd1kB&mSCFF4O<@ktWU@,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+I
#@ DvmB?2qT,*tY8A.w=JD!qQyFO74'Dpli'F!umX?c.XU@qT/CF(Kt_FKF`cE.KQ@wLdV<+LdV
#@ <+LdV<+LdV<+LdV<+LdV<+%6|,EwAoC+6#;EF`*y,EkI|7A)gP8AU_;!Ff++:@;<ylB('WTA
#@ `US3Bh=ap@(Z5)FtT0C>lj|Z?mxPSAqK7IDuC#D+?/nIDws@lBoDkl9?sw%?_eM!?+T*+E1;
#@ wLDb0mIDagbSAnw1Z?Gw&F+8w`TA8vV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<
#@ +LdV<+LdV<+LdV<+LdV<+G8-wEIXME+PU2`FkoP:I<%KTAuNACF)kKV@;Y#D+P45SAh(fRA8
#@ @ME+f^T3BtTRdDaCBq@oF|;@8ZpT,*tY8A.w=JD!qQyF&c;x>#6/C+jF9Z?5R?r@`X|;@_%+
#@ Y?'4q-DNgG<F;nY%,6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I6dV<+
#@ LdV<+LdV<+>N<:+LdV<+LdV<+Le_WF2NfCF&N!iCtiE+E2fbDFsgY8A5R?r@u!V<+LdV<+Ld
#@ V<+O!r<+A(|RA2/ufAAL,!F*qbOB0j7lB<ik)F6dV<+LdV<+LdV<+1=,D+Z0f*F>WT,E6LUZ
#@ ?0g_5Bl4sY?njkSA@8gFE-oHq@l-?SADKN3I<H<:+LdV<+LdV<+LdV<+@NncBGYU^,3RDs@v
#@ qoRAaomlBDx5=I<H<:+LdV<+LdV<+LdV<+LdV<+JS)!F5.j|DhVSaDBxVE+F859/PSo8/F#9
#@ 8/EQ.|,KMMEFKs2(,6dV<+LdV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+
#@ :tJTAEC/`FamqJDKu:s+PGq=+F5q=+KH*RHhHdZ6gmj6wLdV<+LdV<+LdV<+LdV<+>#V<+Ld
#@ V<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+@NncBGYU^,3RDs@vqoRAaomlBDx5=I<H<:
#@ +LdV<+LdV<+LdV<+LdV<+JS)!F5.j|DhVSaDBxVE+F859/PV#9/F#98/C?M&,6dV<+LdV<+L
#@ dV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTAEC/`FamqJDKu:s+PJq=+F5q
#@ =+->j6wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+1A`nAyq*RHw^%hCXj@k9)ZSF+
#@ <#V<+LdV<+LdV<+LdV<+LdV<+:tJTAEC/`FamqJDKu:s+PU2`FamqJD|VD:/5Utx,KMMEFKs
#@ 2(,6dV<+LdV<+LdV<+LdV<+DCZKA1V#D+ReV<+LdV<+LdV<+LdV<+6vV<+LdV<+LdV<+LdV<
#@ +LdV<+:tJTAEC/`FamqJDKu:s+PU2`FamqJDUcj^,KMMEFKs2(,6dV<+LdV<+LdV<+LdV<+>
#@ N<:+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+4P5cE^IbOB4YbDF33J9>lj|Z?mxPSAqK7IDuC#
#@ D+9ZhgC>;wLD*D0C+<#V<+LdV<+LdV<+LdV<+GH8`F/!J9>,Qs/D;2qT,*AXU@aPs/D??SF+
#@ Hx>UAEve#HZ:nT,KMMEF`nG)F%(/=wLdV<+LdV<+LdV<+>#V<+LdV<+LdV<+E'RKD91VE+wZ
#@ 5)F!td=w6dV<+LdV<+LdV<+&QYH9a'7hC5,:eD2rjIDws@lBBXME+4sRQB'xBq@+Ho^Fvdml
#@ B/J3'D9@<:+LdV<+LdV<+@NncBGYU^,M;wLDCqm#H,B>cE!v-<@hF|;@<gRF+:l:s+hEi'Fr
#@ Z!iCy_xQH6dV<+LdV<+LdV<+LdV<+1=,D+Ly>UA4Qk_FM)!QA'.lSAb`xQH6dV<+LdV<+LdV
#@ <+LdV<+LdV<+9MfCFELMEF`nG)F<.N0AS#*!FOocZE0<9:+LdV<+LdV<+LdV<+TX93I1D5)F
#@ ,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+91VE+hHdZ6<>;EFF*xfDMap/D8vV<+LdV<+LdV<+Ld
#@ V<+>wO@wLdV<+LdV<+LdV<+LdV<+7pZQHNIu_FVJFnAJL9<I5F#D+:DsJDFGPF+<#V<+LdV<
#@ +LdV<+LdV<+LdV<+:tJTAEC/`FamqJDKu:s+PU2`FamqJD|VD:/@B.|,KMMEFKs2(,6dV<+L
#@ dV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTAEC/`FamqJDKu:s+PU2
#@ `FamqJDUcj^,KMMEFKs2(,6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+TX93I1D5)F,n<@w
#@ LdV<+LdV<+LdV<+LdV<+7pZQHI4)yGu|D9A|?eCF08x:IDn<@wLdV<+LdV<+LdV<+LdV<+Ld
#@ V<+91VE+hHdZ6<>;EF:@wbEP'm,EMap/D8vV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<
#@ +LdV<+LdV<+LdV<+JS)!F5.j|DhVSaDBxVE+RV#9/C?M&,6dV<+LdV<+LdV<+LdV<+>N<:+L
#@ dV<+LdV<+LdV<+@NncBGYU^,Mkd|GMT<eDP?SF+7H:s+(FuSAy_xQH6dV<+LdV<+LdV<+LdV
#@ <+LdV<+9MfCFELMEF`nG)FQ@+U,KMMEF`nG)F_qq=+(>j6wLdV<+LdV<+LdV<+LdV<+>#V<+
#@ LdV<+LdV<+LdV<+1A`nAyq*RHw^%hCXj@k9)ZSF+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTAEC
#@ /`FamqJDKu:s+PU2`FamqJD|VD:/5Utx,KMMEFKs2(,6dV<+LdV<+LdV<+LdV<+DCZKA1V#D
#@ +<#V<+LdV<+LdV<+LdV<+LdV<+:tJTAEC/`FamqJDKu:s+PU2`FamqJDUcj^,KMMEFKs2(,6
#@ dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+4P5cE^IbOB4YbDF33J
#@ 9>lj|Z?mxPSAqK7IDuC#D+?/nIDws@lBoDkl9?sw%?<H<:+LdV<+LdV<+LdV<+G8-wEIXME+
#@ oP5cE/%VE+Ai?<@VE4cE7n8<IXd'RH12ccEZ5l<+PU2`FamqJD9gw%?6dV<+LdV<+LdV<+>N
#@ <:+LdV<+LdV<+Le_WF2NfCFwsY8A.w=JD!qQyF#I8:+LdV<+LdV<+O*S9,QqVU@1@ME+4CDE
#@ +tiE+E2fbDF@y1mB8r1`F8oATAn9cr@.!BCF+S5D+1|w@wLdV<+LdV<+LdV<+7pZQHX<n#H8
#@ &Z)F3xm*E2<BbEh67ID(8x:I8s:E+lxmX?w:7hCMGPF+<#V<+LdV<+LdV<+LdV<+1A`nAyq*
#@ RHw^%hC|BsI;'*v/DLZSF+<#V<+LdV<+LdV<+LdV<+LdV<+:tJTAEC/`FamqJDKu:s+DPq=+
#@ PGq=+KH*RHhHdZ6gmj6wLdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+Ld
#@ V<+9MfCFELMEF`nG)FK`;!FOocZEHaNgD@Bhm7@<9:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<
#@ +LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+1A`nAyq*RHw^%hC|BsI;'*v/DLZSF+<#V<+L
#@ dV<+LdV<+LdV<+LdV<+:tJTAEC/`FamqJDKu:s+DPq=+PJq=+->j6wLdV<+LdV<+LdV<+LdV
#@ <+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+9MfCFELMEF`nG)FJWuZEPocZE0<9:+LdV<+
#@ LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+1=,D+Ly>UA4Qk_F%w;;6b`xQH6dV<+LdV<+Ld
#@ V<+LdV<+LdV<+9MfCFELMEF`nG)FQ@+U,KMMEF`nG)F_qq=+;'*RHhHdZ6gmj6wLdV<+LdV<
#@ +LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+9MfCFELMEF`nG)FQ@+U,KMMEF`
#@ nG)F|#+RHhHdZ6gmj6wLdV<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV
#@ <+w^DTAn7>D+12ccE(LQ3BtTRdDaCBq@oF|;@8ZpT,*tY8A.w=JDq@bbDOm|<Iwn<@wLdV<+
#@ LdV<+LdV<+LdV<+DP)*Fr2<eD,wBCFU8,FFE2naD,wBCFZ@+U,:ZbDFW`4(,P`NgDhVSaDJO
#@ 9<IsvV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+3aMTA4rjIDws@lBpM13:Akd|Gu!V<+LdV<
#@ +LdV<+O!r<+iKG<F-%,!F3f1EF.w=JDFFfZE6AccE!jmlB=FME+u@oC+1-=JD=APQB:xS`F%
#@ g`aE:#V<+LdV<+LdV<+1A`nA6uE'F/64eDd-!eDmIBq@26sJDu&MTA(aH7AXF><@=fBfD6;)
#@ *FlQCkB/?0bEjf<iCulSCF3+uD+>#AhCd=ap@(Z5)FD%3j@fEi'F3f1EF.w=JD0psZ4HRM`F
#@ cXS!?Rog?+j_xQH6dV<+LdV<+LdV<+LdV<+1=,D+KS#:Af6J(FP9SF+BV&d0Dn<@wLdV<+Ld
#@ V<+LdV<+LdV<+LdV<+7pZQHI4)yGu|D9A|?eCF08x:IDn<@wLdV<+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+#k|Z?-#cDFvKfCF(D#D+3sK7AXF><@=fBfD6;)*F>#V<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+/&I;@+dmID(oATAg'q#6-a%lB!lkj@<iU=+;MfCFm&sJDv.DTA'.lSA`US3Bh=ap@(Z5
#@ )FtT0C>lj|Z?mxPSAqK7IDuC#D+3sK7AXF><@=fBfD6;)*F+'^9Iybi6wLdV<+LdV<+LdV<+
#@ LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+LdV<+9nATAqKDTAF.fK/*XxlBagbSA3l@lB@mZQH#k
#@ |Z?t!MkB6?0bEp)0bErEV5BDI|s@xxlAF/,--E2fbDFCMufArZMTA>#V<+LdV<+LdV<+LdV<
#@ +LdV<+/&I;@+dmID(oATAg'q#6-a%lB!lkj@<iU=+;MfCFj*Z4B-!WTApdkSA(a<JD`:i?>l
#@ j|Z?mZ!iC7y%XHh)fCFg*;1Chd|Z?njkSADI|s@xxlAF/,--E2fbDF!c;x>#6/C+s85)F(<9
#@ :+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+DP)*FP`NgDcl^4A
#@ T`;!FvpNaEu8o(Fv_KZ?#R%6B+S5D+P45SAh(fRA8@ME+f^T3BtTRdDaCBq@oF|;@8ZpT,r(
#@ 9q@g&aaE4s@lBJL0<I_j|^>fWg:@3hY%,6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+Ly>UA4Qk_
#@ FM)!QA'.lSAb`xQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+FOMEFT_'RHn)|T8E;;s+#k|Z?-
#@ #cDFvKfCF(D#D+P45SAh(fRA8@ME+f^T3BtTRdDaCBq@oF|;@8ZpT,r(9q@g&aaE4s@lBJL0
#@ <I_j|^>u;9:+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+
#@ LdV<+O*S9,m#xlBAIfZE6AccE!jmlB=FME+:EF/D9!/F+4CDE+2'aaE4s@lB,<L?wLdV<+Ld
#@ V<+LdV<+LdV<+w^DTAn7>D+12ccE(LQ3BtTRdDaCBq@oF|;@8ZpT,r(9q@g&aaE4s@lBJL0<
#@ Iwn<@wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQHI4)yGu|D9A|?eCF08x:IDn<@wLdV<+LdV<+L
#@ dV<+LdV<+LdV<+LdV<+91VE+hHdZ6<>;EF:@wbEMap/D8vV<+LdV<+LdV<+LdV<+LdV<+T@P
#@ F+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+JS)!F5.j|DhVSaDBxVE+E?M&,6dV<+LdV<+
#@ LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+LdV<+@NncBGYU^,3RDs@vqoRAQU4=I<H<:+Ld
#@ V<+LdV<+LdV<+LdV<+LdV<+G8-wEIXME+oP5cE/%VE+Ai?<@VE4cE7n8<IXd'RH12ccEZ5l<
#@ +R`NgDhVSaDJO9<IuB;:+LdV<+LdV<+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+L
#@ dV<+LdV<+LdV<+7pZQHX<n#H8&Z)F3xm*E2<BbEh67ID(8x:I8s:E+jUmX?w:7hCMGPF+<#V
#@ <+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+GH8`F/!J9>,Qs/D;2qT,*AXU@aPs/D??SF+Hx>UA
#@ Eve#HX(8s+PU2`FamqJD|VD:/,7Y%,svV<+LdV<+LdV<+LdV<+LdV<+LdV<+T@PF+!xMTA<H
#@ <:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+G8-wEIXME+oP5cE/%VE+Ai?<@VE4cE7n8<IXd'R
#@ H12ccEZ5l<+R`NgDhVSaDJO9<IuB;:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+L
#@ dV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+C+H)F)l#j@xxl
#@ AF/,--E2fbDF4#V<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+6dV<+LdV<+LdV<+s|*m68APQB
#@ 47r_F1Es+E&d%UAFXG<F*%VE++@DTA!6*+E8pDTA%#V<+LdV<+LdV<+:tJTAEC/`FamqJDKu
#@ :s+8vV<+LdV<+LdV<+:tJTA9uJTAulSCF>JSaDDLVE+FvV<+LdV<+LdV<+:tJTAC7r_Fa1-.
#@ DuuI9>lj|Z?mxPSAqK7IDuC#D+9ZhgC>kd|G*dw%?u!V<+LdV<+LdV<+1A`nAyq*RHAi0fDG
#@ hV*F(fTfDtH..Dh<..D4DZKA;@/gAjNIQB,(D&,Dn<@wLdV<+LdV<+LdV<+LdV<+i*=kALl2
#@ 4/9MfCF.0?SA+T*+Enw1Z?Gw&F+3DccE,&MEF&#V<+LdV<+LdV<+LdV<+1A`nAyq*RHWG!QA
#@ NF'<I1k_?+k_xQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+*LdlB,7DoAjf<iCulSCF3+uD+9Z
#@ hgC>kd|G*dw%?%P`H0Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+qd1kB&mSCFF4O<@ktWU
#@ @,MNBD(RMTA@2NTAuNACF)kKV@;Y#D+5*;1C'o(*FB7'=@2rt_FEt/<I>Yx;@,D3'Dqu/CF(
#@ Kt_F|hFtFtf_TAHRM`FE;i@E/0fCF>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+/&I;@+dmID(oA
#@ TAg'q#6-a%lB!lkj@<iU=+;MfCFuqCEF*'f^FHVeK/mxPSA7XME+P45SAh(fRA8@ME+Cr*RH
#@ un^3:s2K(F*k|^>:ulCDuC#D+jF9Z?'4q-Dy:fK/0Kk_F8F`cE(&j6wLdV<+LdV<+LdV<+Ld
#@ V<+LdV<+>#V<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+@NncB)K.C
#@ >3'8UAdh`p@w1cSA'<XeDO_'RHs#=/D=TK(FZ-4s4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+L
#@ dV<+GH8`FM/qT,KMMEFlcRF+;MfCFuqCEF*'f^FHVeK/mxPSA7XME+P45SAh(fRA8@ME+Cr*
#@ RHun^3:s2K(F*k|^>:ulCDuC#D+jF9Z?'4q-Dy:fK/0Kk_F8F`cE(&j6wLdV<+LdV<+LdV<+
#@ LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+91VE+hHdZ6<>;EF6t
#@ sdDHCC/D8vV<+LdV<+LdV<+LdV<+7+:eDhqrp@(rjIDL!/F+NIu_Fa1-.DB<SF+<#V<+LdV<
#@ +LdV<+LdV<+LdV<+GH8`F/!J9>,Qs/D;2qT,*AXU@aPs/D??SF+Hx>UAEve#HX(8s+@Gq=+&
#@ -=p>6dV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV
#@ <+7pZQH?2+eDkv;EFn/oW4-j)5I<H<:+LdV<+LdV<+LdV<+LdV<+@NncB)K.C>3'8UAdh`p@
#@ w1cSA'<XeDO_'RHs#=/D=TK(FZ-4s4dpPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+9nATAqK
#@ DTAF.fK/*XxlBagbSA3l@lB@mZQH#k|Z?3DccE,&MEFF_'RHs#=/D=TK(F1aH7A|@T;@*?ff
#@ D1kd|GHRM`F.O<:+LdV<+LdV<+LdV<+LdV<+LdV<+Cij`CsT2TA1neZE6AccEZ>FiC'nHt-*
#@ :d:.<%KTAuNACF)kKV@;Y#D+P45SAh(fRA8@ME+Cr*RHun^3:s2K(F*k|^>f`H7A|@T;@*?f
#@ fD1kd|GHRM`F(<9:+LdV<+LdV<+LdV<+LdV<+LdV<+=3rfAtIa:@rYYKAEve#HNNm0Di&;8@
#@ :t/<I<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+G8-wEIXME+oP5cE/%VE+Ai?<@VE4cE7n
#@ 8<IXd'RH12ccEZ5l<+B#o8/>0M&,svV<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<
#@ +LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<+LdV<+LdV<+LdV<+@
#@ NncB)K.C>3'8UAdh`p@w1cSA'<XeDO_'RHs#=/D=TK(FZ-4s4dpPF+<#V<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+GH8`FM/qT,KMMEFlcRF+;MfCFuqCEF*'f^FvUdT>h)fCF`*7hC(*eT>TOm0D
#@ i&;8@:t/<I_eM!?l'9q@jT*+Enw1Z?Gw&F+8w`TA8vV<+LdV<+LdV<+LdV<+LdV<+>wO@wLd
#@ V<+LdV<+LdV<+LdV<+>#V<+LdV<+LdV<+>wO@w6dV<+LdV<+LdV<+FOMEFY<uK/+P/M/+P/M
#@ /+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/+P/M/FbnHD8vV<+LdV<+T@PF+!xMTA<H<:+L
#@ dV<+LdV<+G8-wEIXME+!v(E+mxPSA7XME++@DTA7k1`F3+G<F.osdD?AccE%ntD+Zlg6wLdV
#@ <+LdV<+>#V<+LdV<+1A`nAyq*RHkc`W7U6y1:(8x:I5F#D+XFPF+<#V<+LdV<+LdV<+1SvhC
#@ 4V#D+PU2`FkoP:I6dV<+LdV<+>N<:+LdV<+IJcZE2o90DvZbcEU^!>wLdV<+LdV<+VVmX?CG
#@ V`F/aDTA*@q-DwL6<@mx;:+TX93I6dV<+qK>nAh++Y?,CHr@uqCEF*'f^F9+ME+%?FiC'.DT
#@ A:<ylB'<XeDP+fK/gLoRAapb8AP?tIBc=oRAnH8:+G8-wE1>fC+.9BfDu=ap@e<NaE6AccE!
#@ jmlB=FME+.LxhCN1-.DJ`xQH6dV<+LdV<+6,aaEegpgC)oATAg'q#6-a%lBjX;:+LdV<+><8
#@ -Bk.F:@E*k#H8&Z)F3xm*E2<BbEh67ID_'v>w6dV<+LdV<+9MfCF(KxhCT&nC+.3;4wLdV<+
#@ LdV<+7pZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7ENRF+<#V<+LdV<+LdV<+1A`nAp%
#@ Bx3PkC&Gi9XU6L:F:6yfT=+C_A*1q_xQH6dV<+LdV<+LdV<+LdV<+1=,D+Z0f*F>WT,E6LUZ
#@ ?0g_5Bl4sY?njkSA@8gFE-oHq@l-?SADKN3I<H<:+LdV<+LdV<+LdV<+LdV<+@NncBD>Ya+X
#@ R>SAfsUgCl<mIDmXo|;;-SQBZq%[email protected]<H<:+LdV<+LdV<+LdV<+LdV<+LdV<+G8-wEIXM
#@ E+a0:oA'%U;@26sJD%YIOA+h5D+r^oRA5/jFE-LDTA6CQC+moe^F)ovlB!QG)F(<9:+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+JS)!FwpmID?)ip6MK:77uEf@+FvV<+LdV<+LdV<+LdV<+LdV<+>w
#@ O@wLdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+'+:p@hp^L
#@ Cdafq@#aMTAKoMO/B,0C<cNRF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+GH8`FKr:s+Yl<eD,
#@ wBCFMk8c3Mq%V@6J3'D%)#D+5uC`F+BK(F';>0ApZ@6B%afq@#aMTA8vV<+LdV<+LdV<+LdV
#@ <+LdV<+LdV<+:tJTA5lC&Ga9XU6L:F:6ck`H06dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+
#@ LdV<+LdV<+TX93I6dV<+LdV<+LdV<+>N<:+LdV<+TX93Iu!V<+LdV<+1A`nAyq*RHm'cSANj
#@ kSA=WrSAXjK3IRvZ<+659:+LdV<+LdV<+@NncBC5>F+`ZACF3!xQH*dmIDGdYpAU6y1:(8x:
#@ IVGSF+m'cSALM/C+%M`H0Z_xQH6dV<+LdV<+LdV<+LdV<+?DylBmalAF4MMEF|4)7@7&lDF1
#@ Q2TAO_'RHm'cSANjkSA?,:eDHX&dE,3=JD*<9:+LdV<+LdV<+LdV<+IJcZE8JlcE@gAk@%)#
#@ D+0lTfDS_'RHm'cSAVGQ@wLdV<+LdV<+LdV<+>#V<+LdV<+>wO@w6dV<+LdV<+rTdQ6hp^LC
#@ 1=,D+,@lSA+d<JDrcw;@'dZRA3@,!F2^DTA%#V<+LdV<+1A`nA/62gCs9V5BwUI9>sB-<@53
#@ x;@2+ME+6#;EF`*y,EkI|7A9wnW4,du4I<H<:+LdV<+LdV<+@NncBGYU^,.LxhCN1-.D4DZK
#@ AL.Js+Dn<@wLdV<+LdV<+LdV<+LdV<+DP)*FTBn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,X
#@ En9,XEn9,8vV<+LdV<+LdV<+LdV<+GH8`FKr:s+vE_bD-#sJD2I-<@6>;EF,&#%GncZRA!HB
#@ 3@-a%lBlvj6wLdV<+LdV<+LdV<+LdV<+DP)*FTBn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,
#@ XEn9,XEn9,m@j6wLdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+FOMEFT_'RHm'
#@ cSAlcRF+WEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,W<Ss+6dV<+LdV<+LdV<+LdV<
#@ +FOMEFT_'RHm'cSAlcRF+*c:*:.osdD?AccE#|G)F*dw;@*6|N@%btD+%?FiC!8P%,6dV<+L
#@ dV<+LdV<+LdV<+FOMEFT_'RHm'cSAlcRF+WEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn
#@ 9,<I(U>1<9:+LdV<+LdV<+TX93I6dV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+1A`nAyq*RH
#@ m'cSANjkSA@8gFEXjK3I<H<:+LdV<+LdV<+LdV<+G8-wEIXME+WEn9,XEn9,XEn9,XEn9,XE
#@ n9,XEn9,XEn9,XEn9,W<Ss+6dV<+LdV<+LdV<+LdV<+FOMEFO7e9,doqeDqIbD+*XxlBagbS
#@ A1l'+EvtGSA'<9:+LdV<+LdV<+LdV<+G8-wEIXME+WEn9,XEn9,XEn9,XEn9,XEn9,XEn9,X
#@ En9,XEn9,W<Ss+6dV<+LdV<+LdV<+DCZKA1V#D+<#V<+LdV<+LdV<+LdV<+GH8`FM/qT,.Lx
#@ hCyoP:ITBn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,8vV<+LdV<+LdV<+LdV<+
#@ GH8`FM/qT,.LxhCyoP:IQ'r<+Q'-W@0U(sFja0;@s/K(F.5|,EkI|7A8vV<+LdV<+LdV<+Ld
#@ V<+GH8`FM/qT,.LxhCyoP:ITBn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,8vV<
#@ +LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+'lm_E8LVE+EaVE+q'-W@0U(sFja0;@s/K(F.5|,Ek
#@ I|7AK!&yGwftDFv^,8AwbtD+*XxlBagbSA4#V<+LdV<+LdV<+1A`nA/62gCs9V5BBcpT,6#;
#@ EF`*y,EkI|7A7&seDR2ub<&f'q>%P`H0Dn<@wLdV<+LdV<+LdV<+LdV<+w^DTAn7>D+12ccE
#@ Qc2xE6AccEZ>FiC'nHt-q'[email protected]@XbXQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+MeYpAU6
#@ y1:(8x:I8s:E+XFPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+GH8`FM/qT,:ZbDF?2R@wLdV<
#@ +LdV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+FOMEFT_'RHm
#@ 'cSAlcRF+?/nIDTjf<I6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I6dV
#@ <+LdV<+LdV<+>N<:+LdV<+LdV<+O*S9,RF=SA!ltfA2o>0ApZ@6B%afq@#aMTAE?)E+1-=JD
#@ EC/`F2WSkBh?mID6,aaEegpgCw1<:+LdV<+LdV<+@NncB)K.C>wm<eD32=mBGXME+ijkIDGA
#@ ip6MK:77)Bx7.B`xQH6dV<+LdV<+LdV<+LdV<+1=,D+5Oy|3>KB!.K4IU?B,0C<D1lW4=Ll_
#@ 2Dn<@wLdV<+LdV<+LdV<+LdV<+LdV<+7pZQHXR>SAfsUgCl<mIDmXo|;;-SQBZq%V@&W/C+>
#@ 7G9>#XmID0k>D+;AK(FdMy'EvtGSA*oSkBh?mIDx,4s4dpPF+<#V<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+7+:eDhqrp@(rjIDL!/F+;AK(FdMy'EvtGSA*oSkBh?mIDEm<@wLdV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+7pZQH;|DkBMB:8@<gRF+:l:s+y_xQH6dV<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+FOMEFP@+U,:ZbDFpB2+4v-B088O977f;9:+LdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+TX93I1D5)F,n<@wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+DP)*FG
#@ dYpAP^)S8E;;s+?/nIDTjf<Ij!r<+;Usp665i6wLdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+>#V<+LdV<+LdV<+LdV<+LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+LdV<+LdV<+>#V<+LdV<+
#@ LdV<+LdV<+>wO@wLdV<+LdV<+LdV<+>#V<+LdV<+LdV<+659:+LdV<+LdV<+@NncBGYU^,.L
#@ xhCN1-.D4DZKAL.Js+Dn<@wLdV<+LdV<+LdV<+LdV<+DP)*F.AnHDXEn9,XEn9,XEn9,XEn9
#@ ,XEn9,XEn9,XEn9,XEn9,>|g6wLdV<+LdV<+LdV<+LdV<+DP)*FQ'r<+MxPSA7R,!Fn^(kBt
#@ +fC+w3F/Ds/B(F.5|,EkI|7A8vV<+LdV<+LdV<+LdV<+GH8`FKr:s+XEn9,XEn9,XEn9,XEn
#@ 9,XEn9,XEn9,XEn9,XEn9,>|g6wLdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+
#@ FOMEFT_'RHm'cSAlcRF+1apA,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,XEn9,;;9:+Ld
#@ V<+LdV<+LdV<+G8-wEIXME+;|DkBK?^4AY7e9,|s91C)+VE+#VBq@hOfq@,oW/DmgY8A1l'+
#@ EvtGSA'<9:+LdV<+LdV<+LdV<+G8-wEIXME+;|DkBK?^4AY7e9,XEn9,XEn9,XEn9,XEn9,X
#@ En9,XEn9,XEn9,XEn9,;;9:+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+*up`:5fbDFELMEF,oW
#@ /DmgY8A1l'+EvtGSA7OWKA(ON+E2WSkBh?mID,oW/DmgY8A4#V<+LdV<+LdV<+1A`nA/62gC
#@ s9V5BBcpT,6#;EF`*y,EkI|7A)gP8Ax,4s4dpPF+<#V<+LdV<+LdV<+LdV<+7+:eDhqrp@(r
#@ jIDL!/F+;AK(FdMy'EvtGSA)u=.DJbXQH6dV<+LdV<+LdV<+LdV<+LdV<+1=,D+MeYpAU6y1
#@ :(8x:I8s:E+XFPF+<#V<+LdV<+LdV<+LdV<+LdV<+LdV<+GH8`FM/qT,:ZbDF?2R@wLdV<+L
#@ dV<+LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+FOMEFT_'RHm'c
#@ SAlcRF+?/nIDTjf<I6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+LdV<+LdV<+TX93I6dV<+
#@ LdV<+LdV<+(2;4wLdV<+LdV<+LdV<+'lm_E8LVE+EaVE+fCT7A#Z#j@vkT;@2(ME+%?FiC^^
#@ m=wLdV<+LdV<+LdV<+7pZQHe<;oA9v&yG;J)*FpjDNAq+xR6L;is6El_o7ENRF+<#V<+LdV<
#@ +LdV<+LdV<+1A`nAp%Bx3PkC&Gi9XU6L:F:6yfT=+C_A*1q_xQH6dV<+LdV<+LdV<+LdV<+L
#@ dV<+1=,D+n7bOB_.aY?jFfRA3V#D+&AcDF`+JOAh:yv?Dn<@wLdV<+LdV<+LdV<+LdV<+LdV
#@ <+LdV<+w^DTAn7>D+12ccEQc2xE6AccEZ>FiC'nHt-fCT7AP2r*DJbXQH6dV<+LdV<+LdV<+
#@ LdV<+LdV<+LdV<+LdV<+1=,D+MeYpAU6y1:(8x:I8s:E+XFPF+<#V<+LdV<+LdV<+LdV<+Ld
#@ V<+LdV<+LdV<+LdV<+GH8`FKr:s+?/nIDTjf<Ij!r<+;Usp665i6wLdV<+LdV<+LdV<+LdV<
#@ +LdV<+LdV<+LdV<+;`4hC@mZQH6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV<+FOMEFT
#@ _'RHm'cSAlcRF+Hx>UAEve#Hr'T9,loKq6pQ1#,6dV<+LdV<+LdV<+LdV<+LdV<+LdV<+LdV
#@ <+>N<:+LdV<+LdV<+LdV<+LdV<+LdV<+TX93I6dV<+LdV<+LdV<+LdV<+LdV<+>N<:+LdV<+
#@ LdV<+LdV<+TX93I6dV<+LdV<+LdV<+>N<:+LdV<+LdV<+@NncBGYU^,.LxhCN1-.D4DZKAL.
#@ Js+Dn<@wLdV<+LdV<+LdV<+LdV<+DP)*F.AnHD8vV<+LdV<+LdV<+T@PF+!xMTA<H<:+LdV<
#@ +LdV<+LdV<+G8-wEIXME+;|DkBK?^4A=;tT>1<9:+LdV<+LdV<+TX93I6dV<+LdV<+>N<:+L
#@ dV<+@NncBGYU^,.LxhCN1-.D=@[email protected]+Dn<@wLdV<+LdV<+LdV<+)lP)FF_'RHm'cSAVGQ
#@ @wLdV<+LdV<+>#V<+>wO@wLdV<+epCkBo0m*EpvnX?3DccE,&MEF7FfZE.o5cEgtJ7Af-DTA
#@ :<ylB'<XeDP+fK/fCT7A5QY<+/^,8Ab'mdD?rY<+7<;oA%6dB;.o5cEHXG<Fr>#j@vkT;@2(
#@ ME+)h|7AE?)E+l'[email protected]?SAG:wLD,wK(F,i#j@<>;EFvO<JD:/ufA;%lD+.5#D+%xRQ
#@ B-0*8A(6nlBeI|7A=E.F+.Gn|;ib&eC6g.',a,v
#@ x
#@ 4
#@ ,CHr@
#@ x
#@ 20
#@ #k|Z?VVmX?6#;EF*wTCF1oG)F
#@ x
#@ 4
#@ %N|(F
#@ p
#@ 59 0 740 39 0 0 280 0 9 59 59 -1 -1
#@ 740
#@ w0E<!Gv!!!(B`W!)?W<!0H<<!3fAs!5v!!!.!!!!:7&I&:N2,!.oAv!#0E<!?,9s!5v!!!.!
#@ !!!;=/I&<N2,!.oAv!#0E<!A8Ks!5v!!!A!!!!15bpv@O01&5v!!!-!!!!)HW!!JE`W!8^w!
#@ !.H!!!,&tl#OPe`BBLVoFv*<<!7/:3w'Z:eBJ>'0.v*<<!:_piw7DV9-G&|=!:Z/s!5v!!!-
#@ !!!!)HW!!JE`W!6tC=!K^n:-i^w!!Qyv!!3e2-&FRic&JjJE'1rSs!By5Uv&Eo7-E^w!!-E!
#@ !!)`vpvHSa<!JSa<!:N2,!N0e%!w6N<!cQ%!!9eq9-J&|=!CZ/s!5v!!!0!!!!FsJ2%aVJp+
#@ +K/s!5v!!!G!!!!hCyJJ(`46#YgMbB0Scf%v*<<!NLV7#^wn<v;De`BEfIQ,#3WW!g!c.!h8
#@ .R5l#3,!.oAv!#0E<!^J8p++K/s!5v!!!L!!!!jOnJJ(`46#K.m>!PRdrv:N2,!.oAv!#0E<
#@ !_PAp++K/s!5v!!!>!!!!lx+KJ(`46#=/C=!R^!svJSa<!:N2,!EOhw!w6N<!kQ%!!9eq9-F
#@ ^w!!.H!!!*i=6#Zmm<v;De`BEfIQ,#3WW!m3c.!h8.R5l#3,!.oAv!#0E<!|D/p++K/s!5v!
#@ !!R!!!!psOKJ(`46#XaDbB/JHK%v*<<!Kt>6#%I2bB/JHK%v*<<!Jk#pvKN2,!RH4&!w6N<!
#@ oQ%!!9eq9-G^w!!/K!!!Ff76,^1kq+E^w!!/K!!!Ff76,>ktt!5v!!!G!!!!t6tKJ(`46#Yg
#@ MbB0Scf%v*<<!ZpV7#`*n<v;De`B.A-0%v*<<!>4xn#8^w!!G>v!!I&nl,Mv!!!Ls,.'5v!!
#@ !0!!!!U#)4%dhep++K/s!ZmVR#BG>:v=Dtpv=>YUvFVe`B-8giwv*<<!)6!!!
#@ 59
#@ G^WL%TF@n#W9T.&SH^w)VWLb'Os+F'Q^!o#P=.4wM=.4wQped&ORdn#SRIn#SRIn#VX@n#R%
#@ w#
#@ 59
#@ UjrL%b6e<v17c<.j0SF0u!rd/eFwP-cl0:#hdu6#Y1Zpv*)1n,n_Q;#!cQ;#kuB:#luB:#OM
#@ 9v
#@ 39
#@ x
#@ 2
#@ @&#
#@ x
#@ 21
#@ Ai0fDGhV*F(fTfDtH..Dh<..D1v
#@ x
#@ 0
#@
#@ x
#@ 20
#@ .|k)FsA>cE_M*:@3K90D2;)*F
#@ x
#@ 5
#@ 4E%6B?v
#@ x
#@ 7
#@ 4<nlBrw<-
#@ i
#@ 0
#@ x
#@ 1
#@ R!
#@ x
#@ 18
#@ &;Z^37R>SAjBm*E2E#_FN+%
#@ x
#@ 4
#@ mw2PB
#@ x
#@ 8
#@ fEi'FrZ!iC
#@ x
#@ 13
#@ `=Bq@l-%lB!QG)F1v
#@ x
#@ 20
#@ OImU?V'wx?QUbOB0?seD><,11
#@ x
#@ 4
#@ DP)*F
#@ x
#@ 79
#@ ekTfD1FeQ6,CH8A>%lDF*|<JD-APQB%WrSA&ot)F9!/F+jFfRA3V#D+5oYKA-!o^F:.G<F17
#@ ME+w3F/Du@oC+i9XU68qoG;AfC%
#@ x
#@ 8
#@ hEi'FrZ!iC
#@ x
#@ 21
#@ lj|Z?`vvY?jFfRA1EK(F%x`A3R!
#@ x
#@ 20
#@ #k|Z?VVmX?6#;EF*wTCF1oG)F
#@ x
#@ 14
#@ A>siChp?.De-%lBJSw
#@ x
#@ 4
#@ wm<eD
#@ x
#@ 5
#@ wXhTA8v
#@ x
#@ 11
#@ *R>SAjBm*EfqT+
#@ x
#@ 11
#@ w(9q@|UGnAv7E-
#@ x
#@ 91
#@ Yl<eD,wBCFMk8c3uJ3mB,8>D+s'9q@|UGnAIBjZ-9)yhCucZRA&QN+EhkN:@*&oC+u@oC++s
#@ EiCZ7|7A=E.F+Njd|G|UGnAIBjZ-F@ip6)wx.:5|C%
#@ x
#@ 11
#@ Tjd|G|UGnAv7E-
#@ x
#@ 13
#@ 6g0Z?3'8UAu/4iCEv
#@ x
#@ 13
#@ 3N!iC/wOaE'*v/D1v
#@ x
#@ 107
#@ e/9KDE>Nb3snjDF>DelB4vfZE'*v/D+S5D++oG)F(%ljBBXME+tUtD+u5seD?PpeDu+fC+'a
#@ MTA6<XeD25:JD+oreDtY>0AsjkjB05paE6?elB2e/wE(Zf(FF@ip6*X#!6@ZC%
#@ x
#@ 19
#@ 3N!iCuFap@!:_LChfACF`1w,
#@ x
#@ 119
#@ Yl<eD,wBCFMk8c3cw>SA@V4mB,8>D+CnegC)Fwx?n0Hr@_qr:@*FV5B2cw;@'dZRAwc>0Awa
#@ DTAj?VTA6F<0Anly^F/c|N@q&#%G7CDE+=XG<F)HegC?aqE+n0Hr@u|p:@*FV5BF@ip6)wx.
#@ :5|C%
#@ x
#@ 16
#@ 6g0Z?n0Hr@_qr:@*FV5B
#@ x
#@ 15
#@ 3N!iC*Lq-D60nlBapD-
#@ x
#@ 8
#@ GD0bE!dMTA
#@ x
#@ 12
#@ &;Z^3TD0bE!dMTA
#@ x
#@ 18
#@ Tjd|GSq/q@#Dsp@,j&fD@Sw
#@ x
#@ 16
#@ &;Z^3ajd|GIon^Fw@VTA
#@ x
#@ 6
#@ AAK,E`+%
#@ x
#@ 25
#@ 4HmIDw3BCFc-!eD?AccEwfbDFgKxhC1v
#@ x
#@ 23
#@ &;Z^3H5|,E.*OaE6AccE!jmlBrw<-
#@ 0
#@ 0
#@ 1 10
#@ 4
#@ %N|(F
#@ 0 0 256
#@ 21
#@ Ai0fDGhV*F(fTfDtH..Dh<..D1v
#@ 1 0 0
#@ 9
#@ w^%hCNe0Y6h!
#@ 2 0 0
#@ 9
#@ w^%hCXj@k9c!
#@ 3 0 0
#@ 8
#@ 3'8UAo@.#H
#@ 4 0 0
#@ 13
#@ w^%hC|BsI;'*v/D1v
#@ 5 0 0
#@ 9
#@ lri<7%Q5cE@v
#@ 6 0 0
#@ 7
#@ 4<nlBrw<-
#@ 7 0 0
#@ 8
#@ m'cSANjkSA
#@ 8 0 0
#@ 11
#@ kc`W7U6y1:Uvg+
#@ 9 0 0
#@ x
#@ 22
#@ epCkBo0m*EpvnX?3DccE,&MEFO(%
#@ x
#@ 7
#@ /^,8AY@c,
#@ x
#@ 10
#@ /^,8Ab'mdDT:%
#@ x
#@ 5
#@ 7<;oA;v
#@ x
#@ 89
#@ R^YSADC/`FEPMEF4GTfDrlR)E%SK;@4WB3@&`:-Bv-0bE%HK(F7AK(F*tfq@26sJD5,:eD;)
#@ ip6V>m;@<4ME+u@oC+(Q5DFsbtfA),#D+7?&UA?v
#@ x
#@ 12
#@ )L>nAh++Y?%N|(F
#@ x
#@ 1272
#@ 6dV<+LdV<+_BfK/45;`F(w.a:*k>D+&=lZ<HlR)E%SK;@EFfZE4c>0ApxBq@Fhd#H;4:JDm'
#@ cSAF@ccE+S5D+>#V<+LdV<+R4>F+4HmIDw3BCFc-!eD?AccEwfbDFgKxhCmogE:FG;`F0KAk
#@ B2.G<F>.<cE8LVE+EaVE+6#;EF*wTCF1oG)FAq5DF8MMEF.5|,E4B=JD:6g|D0IDkB1%,!F5
#@ -=JD3kQ,E26sJD7?<3I6dV<+LdV<+_BfK/u5seDrgwhCi.aY?rcmlB9N:s+U5seD:i#j@!:_
#@ LC)fACF+S5D+u@oC+6#;EF*wTCF/TvhC*JYD+rcmlB'WYKAjgpgC)5:JD5Q?<@=fBfD6;)*F
#@ 32mRAvyBq@kj|7AY'8s+5hjdDn7a:@:kQ,E26sJD7?<3I6dV<+LdV<+_BfK/l.ap@!:_LChf
#@ ACF+S5D+n5J(F*tfq@7i#j@!:_LC)fACF+S5D+)lYKA)6BfD6;)*FufY8AG:wLDwNTfD.'8U
#@ A3WB3@-JNBD+TvhC*JYD+rcmlB'WYKAjgpgC2y1mB'K|N@*uJTA85:JD5Q?<@=fBfD6;)*FR
#@ -Js+-)9fDm*[email protected]!^;@5%O@wLdV<+LdV<+KU`4@6;hAG%TsiCudtjBqa<m69y-fD8oA
#@ TA:<ylB1q3'D60nlB,=VE+tD%.:|vi|DI`#=.R-Js+-)9fDm*[email protected]!^;@5%O@wLdV<+
#@ LdV<+KU`4@6;hAGvKfCF(D#D+lX'E+>OVE+6#;EF*wTCF8JfCF%s<JDB(8s+5hjdDn7a:@:k
#@ Q,E26sJD7?<3I6dV<+LdV<+_BfK/VVmX?mT<eDJu:s+aOA@+mT<eDC70wE'FMTA5?BCF3+uD
#@ +,#cDF:tbD+.QN+EhkN:@3vd:.+`H7AaUGnABXVE+n++Y?tNi'F5-=JD>UNgD4<XeD(JbD+6
#@ LhgC:+ME++%)5B'QiSA'Q90D?:hAG8DsJDTN6=I>#V<+LdV<+R4>F+Tjd|G|UGnABXVE+)_c
#@ |GsTGnABXVE+2BK(F&xQ<@26sJD-#sJD9?=iCF6r_F!VGnABXVE+n++Y?tNi'F5-=JD>UNgD
#@ 4<XeD(JbD+6LhgC:+ME++%)5B'QiSA'Q90D?:hAG8DsJDTN6=I>#V<+LdV<+R4>F+GD0bE!d
#@ MTAl`GFDagbSA:D0bE%3elBCyqcB-osdD!CIID.v&yG))MEF5=V&,P!i<+w6=iC!VtD+4<nl
#@ Bv4hgC>#V<+LdV<+R4>F+Tjd|GSq/q@#Dsp@,j&fD-H:s+C.XU@+D3'DNgG<F&LhTA40=JD+
#@ 5:JDip/[email protected])3m-mw2PBBtTKD'.lSA3;B,E.'K<.#N&(F%Si|DG*k#H#sJbE88.
#@ >H%<XeD1cir@l!lSA8G6bEt6lZ<HlR)EZGJ;@Jlk<+=jZN@':cSA+qi|D4<XeD(JbD+>#V<+
#@ LdV<+R4>F+6g0Z?3'8UAu/4iC!b<m69y-fDIU/*F.DblB%([email protected]+6#;EF*wTCF3+uD+DL
#@ G<F)Vi|DaCBq@=JqcB7)ip6V>m;@F23m-:Dh|DI`#=.R-Js+-)9fDm*[email protected]!^;@5%O@
#@ wLdV<+
#@ x
#@ 23
#@ .9BfDu=ap@e<NaE6AccE!jmlBrw<-
#@ p
#@ 20 0 249 22 0 0 96 0 7 20 20 -1 -1
#@ 249
#@ w0E<!Gv!!!(B`W!)?W<!0H<<!3fAs!5v!!!.!!!!6tVH&:N2,!Gx%%!w6N<!SQ%!!5Yq9-H^
#@ w!!0N!!!)`vpvDMGY!JE`W!8^w!!A,v!!*i=6#6AV9-E^w!!-E!!!+rXQ#HSa<!:N2,!B>S-
#@ !,,:3wuC5I83#4,!Jm@%!#0E<!=G(qvH9DF(<k60%@De`B-8giwv*<<!Llho+Jc%p+jNE<!(
#@ dYa15v!!!Q!!!!32o=!D>,>!HJ>>!3NW<!AZi<!7t/1&5v!!!-!!!!+Ti!!JE`W!JE`W!DRE
#@ 5w.Z/s!5v!!!-!!!!%03!!z
#@ 20
#@ G^WL%Q^!o#RxRn#SsNo#TgI8#
#@ 20
#@ nLpO-y9e<v)a=tv!_jh&H1Rjw
#@ 22
#@ x
#@ 2
#@ @&#
#@ x
#@ 21
#@ Ai0fDGhV*F(fTfDtH..Dh<..D1v
#@ x
#@ 0
#@
#@ x
#@ 20
#@ .|k)FsA>cE_M*:@3K90D2;)*F
#@ x
#@ 5
#@ 4E%6B?v
#@ x
#@ 7
#@ 4<nlBrw<-
#@ x
#@ 5
#@ 5KxhC1v
#@ x
#@ 18
#@ &;Z^37R>SAjBm*E2E#_FN+%
#@ i
#@ 0
#@ x
#@ 8
#@ fEi'FrZ!iC
#@ x
#@ 13
#@ `=Bq@l-%lB!QG)F1v
#@ x
#@ 20
#@ OImU?V'wx?QUbOB0?seD><,11
#@ x
#@ 4
#@ DP)*F
#@ x
#@ 79
#@ ekTfD1FeQ6,CH8A>%lDF*|<JD-APQB%WrSA&ot)F9!/F+jFfRA3V#D+5oYKA-!o^F:.G<F17
#@ ME+w3F/Du@oC+i9XU68qoG;AfC%
#@ x
#@ 8
#@ hEi'FrZ!iC
#@ x
#@ 21
#@ lj|Z?`vvY?jFfRA1EK(F%x`A3R!
#@ x
#@ 23
#@ .9BfDu=ap@e<NaE6AccE!jmlBrw<-
#@ x
#@ 14
#@ A>siChp?.De-%lBJSw
#@ x
#@ 4
#@ wm<eD
#@ x
#@ 5
#@ wXhTA8v
#@ x
#@ 11
#@ *R>SAjBm*EfqT+
#@ x
#@ 28
#@ &;Z^3N&O+E,=^Z?VVmX?6#;EF*wTCF1oG)F
#@ 0
#@ 0
#@ 1 4
#@ 4
#@ %N|(F
#@ 0 0 256
#@ 21
#@ Ai0fDGhV*F(fTfDtH..Dh<..D1v
#@ 1 0 0
#@ 8
#@ m'cSANjkSA
#@ 2 0 0
#@ 7
#@ 4<nlBrw<-
#@ 3 0 0
#@ x
#@ 86
#@ c8BfDFXME+.5#D+w3F/Ds/B(F:uMTA4+dfD5|2TA#cLvH7AK(F*tfq@26sJD5,:eD;)ip6V>
#@ m;@*'f(FufY8A)E%lB,8>D+om#SAGjd|GO(%
#@ x
#@ 65
#@ 6dV<+LdV<+_BfK/m'cSA(2ayFEPMEF(KxhC!3x;@6Bk<+60roA'A#D+:<ylB1yi|D4<XeD0>
#@ E;I6dV<+A!
#@ x
#@ 15
#@ rpwhC;Z2b3<?<+EfqT+
#@ 0
#@ 0
#@ }
#@ # -- End source
/cad/synopsys/synthesis/cur/auxx/syn/scripts/set_cdc_restrictions.tbc

#@
#@ # -- End source /cad/synopsys/synthesis/cur/admin/setup/.synopsys_dc.setup

#@ enable_write_lib_mode
#@ read_lib tcbn07_bwph240l11p57pd_pm_ulvttt_0p85v_85c_typical_hm_lvf_p_ccs.lib -
no_warnings >> lib_conv.log
#@ write_lib tcbn07_bwph240l11p57pd_pm_ulvttt_0p85v_85c_typical_ccs -format db -
output ./tcbn07_bwph240l11p57pd_pm_ulvttt_0p85v_85c_typical_hm_lvf_p_ccs.db
#@ exit

You might also like