0% found this document useful (0 votes)
2 views

me-cs

The document outlines the curriculum and detailed syllabi for the M.E. Degree in Communication Systems at Thiagarajar College of Engineering, effective from the academic year 2017-2018. It includes the program's vision, mission, educational objectives, outcomes, course structure, and a list of electives. The total credits required for the degree is 76, with a focus on both theoretical and practical aspects of communication engineering.

Uploaded by

Madhu
Copyright
© © All Rights Reserved
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
2 views

me-cs

The document outlines the curriculum and detailed syllabi for the M.E. Degree in Communication Systems at Thiagarajar College of Engineering, effective from the academic year 2017-2018. It includes the program's vision, mission, educational objectives, outcomes, course structure, and a list of electives. The total credits required for the degree is 76, with a focus on both theoretical and practical aspects of communication engineering.

Uploaded by

Madhu
Copyright
© © All Rights Reserved
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 183

TCE - M.E.

Degree (Communication Systems)

CURRICULUM AND DETAILED SYLLABI

FOR

M.E. DEGREE (Communication Systems) PROGRAM

FOR THE STUDENTS ADMITTED FROM THE

ACADEMIC YEAR 2017-2018 ONWARDS

THIAGARAJAR COLLEGE OF ENGINEERING


(A Government Aided ISO 9001-2000 certified
Autonomous Institution affiliated to Anna University)

MADURAI – 625 015, TAMILNADU

Phone: 0452 – 2482240, 41


Fax: 0452 2483427
Web: www.tce.edu
TCE - M.E. Degree (Communication Systems)

Vision

To empower the Electronics and Communication Engineering students with


technological excellence, professional commitment and social responsibility

Mission

 Attaining academic excellence in Electronics and Communication Engineering


through dedication to duty, innovation in learning and research, state of art
laboratories and industry driven skill development.
 Establishing suitable environment for the students to develop professionalism
and face life challenges with ethical integrity.
 Nurturing the students to understand the societal needs and equip them with
technical expertise to provide appropriate solutions.
 Providing breeding ground to obtain entrepreneurial skills and leadership
qualities for self and societal growth.

Programme Educational Objectives


I. Graduates will be capable of developing and providing optimal solutions to
subsystems like RF, baseband of modern communication systems and
networks.
II. Graduates will be capable of carrying out multidisciplinary scientific research
in allied areas of Communication Engineering through advanced research,
personal success and life long learning.
III. Graduates will be able to identify and analyze societal problem and can
provide technological solutions in a cost effective manner.
– These objectives will be evidenced by professional visibility (publications,
presentations, inventions, patents and awards), entrepreneurial activities,
international activities (participation in international conferences,
collaborative research and employment abroad)

Program Outcomes

1. Scholarship of Knowledge
Acquire in-depth knowledge of various aspects of communication systems namely
RF, baseband and networks in wider and global perspective, with an ability to
evaluate, analyze and synthesize the existing and evolving applications.

2. Critical Thinking
Analyse complex engineering problems in modern communication systems
pertaining to challenges in RF, baseband and networking and apply the acquired
knowledge for conducting research in a wider theoretical and practical context.

3. Problem Solving
Model complex engineering problems in communication systems and evaluate a
wide range of potential solutions for those problems and provide optimal solutions
after considering public health and safety, cultural, societal and environmental
factors.
TCE - M.E. Degree (Communication Systems)

4. Research Skill
Conduct literature survey, apply appropriate research methodologies, design
algorithms/circuits, validate through simulation/prototype for complex engineering
problems in communication systems.

5. Usage of modern tools


Create, select, learn and apply appropriate techniques, resources, and modern
engineering and IT tools, including prediction and modeling, to complex engineering
activities with an understanding of the limitations.

6. Collaborative and Multidisciplinary work


Possess knowledge and understanding of group dynamics, recognize opportunities
and contribute positively to collaborative-multidisciplinary scientific research,
demonstrate a capacity for self-management and teamwork, decision-making based
on open-mindedness, objectivity and rational analysis in order to achieve common
goals and further the learning of themselves as well as others.

7. Project Management and Finance


Demonstrate knowledge and understanding of engineering and management
principles and apply the same to one‟s own work, as a member and leader in a team,
manage projects efficiently in respective disciplines and multidisciplinary
environments after considerisation of economical and financial factors.

8. Communication
Communicate with the engineering community, and with society at large, regarding
complex engineering activities confidently and effectively, such as, being able to
comprehend and write effective reports and design documentation by adhering to
appropriate standards, make effective presentations, and give and receive clear
instructions.

9. Life-long Learning
Recognize the need for, and have the preparation and ability to engage in life-long
learning independently, with a high level of enthusiasm and commitment to improve
knowledge and competence continuously.

10. Ethical Practices and Social Responsibility


Acquire professional and intellectual integrity, professional code of conduct, ethics of
research and scholarship, consideration of the impact of research outcomes on
professional practices and an understanding of responsibility to contribute to the
community for sustainable development of society.

11. Independent and Reflective Learning


Observe and examine critically the outcomes of one‟s actions and make corrective
measures subsequently, and learn from mistakes without depending on external
feedback.
TCE - M.E. Degree (Communication Systems)

THIAGARAJAR COLLEGE OF ENGINEERING, MADURAI-625015


DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING
SCHEDULING OF COURSES

Semester Theory Laboratory/


Project
st 14CN110 14CN120 14CN130 14CN140 14CN150 14CN160 14CN170
1 (24)
Linear Algebra and Digital Communication Microwave Image Digital Communication
Optimization Communication Networks Circuits and Processing and Integrated Systems
3:1 Techniques 3:1 Systems Recognition Systems Laboratory
3:1 3:1 3:0 3:1 0:1
14CN210 14CN220 14CN230 14CNPX0 14CNPX0 14CNPX0 14CN270
2nd (24)
Baseband Optical Antenna Elective I Elective II Elective III RF Systems
Communication Communication Technologies 3:1 3:1 3:1 Laboratory
System and Networking and Design 0:1
3:1 3:1 3:0
14CN310 14CNPX0 14CNPX0 14CN340
3rd (16)
Modeling and Elective – IV Elective – V Project
Simulation of 3:1 3:1 0:4
Communication
Systems
3:1
14CN410
4th (12)
Project
0:12

Total No. of credits to be earned for the award of degree: 76


TCE - M.E. Degree (Communication Systems)

THIAGARAJAR COLLEGE OF ENGINEERING: MADURAI – 625 015


(An Autonomous Institution Affiliated to Anna University)
CURRICULUM
(For the Students admitted from the academic year 2014- 2015)

Name of the Degree: ME (Communication Systems)


COURSES OF STUDY
I SEMESTER
Theory:
Course Regulation
Name of the Course
Code L T P C
14CN110 Linear Algebra and Optimization 3 1 0 4
14CN120 Digital Communication Techniques 3 1 0 4
14CN130 Communication Networks 3 1 0 4
14CN140 Microwave Circuits and Systems 3 1 0 4
14CN150 Image Processing and Recognition 3 0 0 3
14CN160 Digital Integrated Systems 3 1 0 4
Practical
14CN170 Communication Systems Laboratory 0 0 2 1
Total Credits 24
II SEMESTER
Theory:
Course Regulation
Name of the Course
Code L T P C
14CN210 Baseband Communication System 3 1 0 4
14CN220 Optical Communication and Networking 3 1 0 4
14CN230 Antenna Technologies and Design 3 0 0 3
14CNPX0 Elective I 3 1 0 4
14CNPX0 Elective II 3 1 0 4
14CNPX0 Elective III 3 1 0 4
Practical
14CN270 RF Systems Laboratory 0 0 2 1
Total Credits 24

III SEMESTER
Theory:
Course Regulation
Name of the Course
Code L T P C
14CN310 Modeling and Simulation of Communication Systems 3 1 0 4
14CNPX0 Elective – IV 3 1 0 4
14CNPX0 Elective – V 3 1 0 4
Practical
14CN340 Project I 0 0 8 4
Total Credits 16

IV Semester:
Practical:
Course Regulation
Name of the Course
Code L T P C
14CN410 Project II 0 0 24 12
Total Credits 12

Total No. of credits to be earned for the award of degree: 76


TCE - M.E. Degree (Communication Systems)

List of Electives:

14CNPA0 Radio Frequency Integrated Circuits


14CNPB0 Radar Signal Processing (Common with 14WTPB0)
14CNPC0 Multimedia Communication Systems (Common with 14WTPC0)
14CNPD0 Analog CMOS Circuit Design (Common with 14WTPR0)
14CNPE0 Real Time Embedded Systems (Common with 14WTPE0)
14CNPF0 Estimation and Detection Algorithms
14CNPG0 Satellite Remote Sensing and Data Analysis (Common with 14WTPQ0)
14CNPH0 Wireless Network Security (Common with 14WT220)
14CNPI0 MIMO OFDM Systems (Common with 14WTPH0)
14CNPJ0 Physical Layer LTE Systems (Common with 14WTPI0)
14CNPK0 RF MEMS (Common with 14WTPJ0)
14CNPL0 Video Surveillance Systems (Common with 14WTPK0)
14CNPM0 Network Management (Common with 14WTPL0)
14CNPN0 Baseband Algorithms on FPGA (Common with 14WTPM0)
14CNPO0 RF Test and Measurement (Common with 14WTPN0)
14CNPP0 Medical Imaging and Classification
14CNPQ0 Software and Cognitive Radio Systems
14CNPR0 Computer Vision
14CNPS0 Antennas for Wireless Applications (Common with 14WTPA0)
14CNPT0 CMOS ASIC Design (Common with 14WTPF0)
14CNPU0 Nano MOSFET Modeling
14CNPV0 Nano Scale Transistors
14CNPW0 Solid State Device Modeling and Simulation
14CNPX0 Photonic Crystals - Principles And Applications
14CNRA0 Telehealth Technology (Common with 14WTPV0)
14CNRB0 Radio Frequency Integrated Circuit SytemDesign (Common with 14WTPW0)

6
TCE - M.E. Degree (Communication Systems)

THIAGARAJAR COLLEGE OF ENGINEERING: MADURAI – 625 015


M.E Degree (Communication Systems) Program
SCHEME OF EXAMINATIONS
(For the candidates admitted from 2014-2015 onwards)

FIRST SEMESTER
Name of the Course Duration Marks Min. Marks for
Course of Pass
code Terminal Conti- Termi- Max. Termi- Total
Exam\ nuous nal Marks nal
in Hrs. Asses- Exam Exam
sment
THEORY
14CN110 Linear Algebra and 3 50 50 100 25 50
Optimization
14CN120 Digital 3 50 50 100 25 50
Communication
Techniques
14CN130 Communication 3 50 50 100 25 50
Networks
14CN140 Microwave Circuits 3 50 50 100 25 50
and Systems
14CN150 Image Processing 3 50 50 100 25 50
and Recognition
14CN160 Digital Integrated
3 50 50 100 25 50
Systems
PRACTICAL
14CN170 Communication
3 50 50 100 25 50
Systems Laboratory

SECOND SEMESTER
Course Name of the Course Duration Marks Min. Marks for
code of Pass
Terminal Conti- Termi- Max. Termi- Total
Exam\ nuous nal Marks nal
in Hrs. Asses- Exam Exam
sment
THEORY
14CN210 Baseband
Communication 3 50 50 100 25 50
System
14CN220 Optical
Communication and 3 50 50 100 25 50
Networking
14CN230 Antenna
Technologies and 3 50 50 100 25 50
Design
14CNPX0 Elective I 3 50 50 100 25 50
14CNPX0 Elective II 3 50 50 100 25 50
14CNPX0 Elective III 3 50 50 100 25 50
PRACTICAL
14CN270 RF Systems
3 50 50 100 25 50
Laboratory

7
TCE - M.E. Degree (Communication Systems)

THIRD SEMESTER

Course Name of the Course Duration Marks Min. Marks for


code of Pass
Terminal Conti- Termi- Max. Termi- Total
Exam\ nuous nal Marks nal
in Hrs. Assess- Exam Exam
ment
THEORY
14CN310 Modeling and
Simulation of
3 50 50 100 25 50
Communication
Systems
14CNPX0 Elective – IV 3 50 50 100 25 50
14CNPX0 Elective – V 3 50 50 100 25 50
PRACTICAL
14CN340 Project I - 150 150 300 75 150

FOURTH SEMESTER

Course Name of the Course Duration Marks Min. Marks for


code of Pass
Terminal Conti- Termi- Max. Termi- Total
Exam\ nuous nal Marks nal
in Hrs. Asses- Exam Exam
sment
PRACTICAL
14CN410 Project II - 150 150 300 75 150

* Continuous Assessment evaluation pattern will differ from course to course and for
different tests. This will have to be declared in advance to students. The department will put
a process in place to ensure that the actual test paper follow the declared pattern.

** Terminal Examination will be conducted for maximum marks of 100 and subsequently be
reduced to 50 marks for the award of terminal examination marks.

8
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CN110 LINEAR ALGEBRA AND OPTIMIZATION
BS 3 1 0 4

Preamble
The operations of addition and scalar multiplication are used in many diverse contexts in
mathematics. These operations follow the same set of arithmetic rules. A general theory of
mathematical systems involving addition and scalar multiplication has applications to many
areas of communication systems. Mathematical systems of this form are called Vector
spaces or linear spaces. Optimization is the art of obtaining the best result under given
circumstances. In design, construction and maintenance of any engineering system,
engineers have to take many technological and managerial decisions at several stages. The
ultimate goal of all such decision is to either minimize the effort required or maximize the
desired benefit. At times certain restrictions or constraints are imposed on the decision
variables. Optimization can be defined as the process of finding the conditions that give the
maximum or minimum value of a function with or without attendant constraints.

Prerequisite
Nil
Course Outcomes
On the successful completion of the course, students will be able to
CO1. Determine the dimension of vector space. Understand
CO2. Predict orthonormal basis. Understand
CO3. Perform diagonalization of a given matrix. Understand
CO4. Apply linear programming techniques to optimize problems arising in Apply
communication engineering.
CO5. Determine the optimum values of non-linear programming problems Apply
using Kuhn tucker conditions, elimination method.
CO6. Determine the optimum values of non-linear programming problems Apply
using search methods.
Mapping with Programme Outcomes
COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 M - S - - - - - - - -
CO2 M - S - - - - - - - -
CO3 M - S - - - - - - - -
CO4 - L S L M - - - - - -
CO5 - L S L M - - - - L -
CO6 - L S L M - - - - - -
S- Strong; M-Medium; L-Low
Assessment Pattern
Continuous Assessment Tests
Bloom‟s Category Terminal Examination
1 2 3
Remember 10 10 0 0
Understand 30 30 30 30
Apply 60 60 70 70
Analyse 0 0 0 0
Evaluate 0 0 0 0
Create 0 0 0 0

9
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. Let x,y,z be vectors in a vector space V. If x  y  x  z , then prove that y = z

2. Show that e1 , e2 , e3, 1,2,3
T
 is a spanning set for R 3

3. State Cauchy-Schwarz inequality in an inner product space


4. State the parallelogram law in an inner product space
5. Define unimodal function
6. Describe random search method.
 1,1,1 2,1,3 4,5,1
 

T T T
7. Show that  , ,  is an orthonormal set in R
3


 3 14 42 

Course Outcome 2 (CO2):


1 0 0
1. Estimate the row space and column space of the matrix A   
0 1 0 
1 - 2 3
 
2. Estimate the dimension of the row space of the matrix A   2 - 5 1
1 - 4 - 7 
 
3. Estimate the best quadratic least square fit to the data

x 0 3 6
y 1 4 5
4. Estimate the angle between vectors (2,3,1) and (1,2,4)T in R3
T

Course Outcome 3 (CO3):


1. Compute the dimension of the subspace of R 4 spanned by
 1  2  2  3
       
 2  5  4  8
X 1    , X 2   , X 3    , X4   
1 3 2 5
       
 0  2  0  4
       
2. Calculate the best quadratic least squares fit to the data

x -1 0 1 2
y 0 1 3 9

3. Consider the vector space C  1, 1 with inner product defined by


1
 f , g   f ( x) g ( x)dx Calculate orthonormal basis for subspace spanned by
1

 1, x, x2 
1. Calculate the minimum of f ( x1 , x2 )  x1  x2  2 x1  2 x1 x2  x2 , starting from the
2 2

origin, using the conjugate gradient method.


5. Calculate the minimum of f  5  53  20  5 , using Quadratic interpolation
method.

10
TCE - M.E. Degree (Communication Systems)

Course Outcome 4 (CO4):


1. Solve the following using simplex method:
Maximize Z = 45x1+80x2
Subject to 5x1+20x2≤400 ; 10x1+15x2≤450 : x1 ,x2 ≥0
2. Use Graphical method to solve the LPP
Maximize Z = 5x1+x2
Subject to 5x1+2x2≤20 ; x1+3x2≤50 : x1 ,x2 ≥0

Course Outcome 5 (CO5):


1. Determine the maximum value of the non-linear programming problem using Kuhn-
tucker conditions, Max Z = 8x1+10x2-x12-x22
Subject to 3x1+2x2≤6 ; x1 ,x2 ≥0
2. Calculate the minimum value of f(x) = x(1.5-x) in the interval [0,3] with n=6 by
Fibonacci method and golden section method.

Course Outcome 6 (CO6):


1. Calculate the minimum of f ( x1 , x2 )  x1  x2  2 x1  2 x1 x2  x2 , starting from the
2 2

origin, using conjugate gradient method.


2. Calculate the minimum of f ( x1 , x 2 )  x1  x 2  8 x1  2 x1 x 2 , starting from the origin,
2

using the steepest descent method.

Concept Map

Syllabus

VECTOR SPACES AND ORTHOGONALITY: Spaces of vectors- the null space- the rank
and the row reduced form, independence, basis, dimension, dimension of the four
subspaces, projections, least square approximations, orthogonal bases and Gram Schmidt.
LINEAR TRANSFORMATIONS: Linear transformation, Matrix of linear transformation,
diagonalization, applications to differential equations, symmetric matrices, positive definite
matrices, similar matrices, singular value decomposition pseudo inverse. APPLICATIONS:
Graphs and networks, Markov matrices, Linear programming, Simplex method.
NONLINEAR PROGRAMMING: Kuhn Tucker conditions, Elimination methods, Fibonacci,
Golden section, Quadratic interpolation. Direct search method, Random search method,
Pattern search method, Steepest descent method, Conjugate gradient method.

11
TCE - M.E. Degree (Communication Systems)

Reference Books
1. Gilbert Strang, “Introduction to Linear Algebra”, Third edition, Wellesley, Cambridge
Press,2003
2. S.S. Rao, “Optimization”, Wiley Eastern Limited, New Delhi-1990.
3. Steven J. Leon,“ Linear Algebra with Applications”, Macmillan publishing company,
New York, 1990.
4. K.V. Mittal,” Theory of Optimization”, Wiley Eastern Limited, New Delhi,1988

Course Contents and Lecture Schedule

Sl No. of
No Topics Periods
Vector Spaces and Orthogonality
1 Vector spaces: axioms; properties examples of vector spaces 1
2 Sub-spaces: Null space of matrix examples 1
3 Linear combinations; span of a set properties; Examples, Linear 2
independence and dependence-definition
4 Basis and dimension; properties; examples 1
5 The row and column space 1
6 Orthogonal subspaces-inner product space, normed linear space; 1
orthogonal complements-properties
7 Orthogonal matrices-properties. 1
8 Orthogonal bases: Gram Schmidt orthonormalisation process 2
Linear Transformation
9 Linear transformation: Image and kernel properties; Examples 2
10 Matrix representation of linear transformation Representation theorem; 1
Examples
11 Eigen values and eigenvectors : Diagonalisation of matrices 2
12 Eigen values and eigenvectors: Applications to differential equations. 1
13 Systems of linear diff. Equation using eigen values and eigenvectors 1
14 Symmetric matrices, positive definite matrices ,similar matrices 1
15 Pseudoinverse : Singular value decomposition 2
Applications
16 Graphs and networks 2
17 Markov processes, Markov matrices 2
18 Linear programming- Formulation, Canonical and standard forms-simplex 2
method
19 Simplex method 3
Nonlinear Programming
20 Non-linear programming- Kuhn Tucker conditions 2
21 Problems in Non-linear programming 1
22 Non-linear programming(one dimensional minimization methods): 1
Unimodal functions
23 NLP(Without constraints) Elimination methods 1
24 Fibonacci method- Exercise problems 2
25 Golden section method: Golden number 1

12
TCE - M.E. Degree (Communication Systems)

26 Interpolation methods: quadratic interpolation method 1


27 Problems in interpolation methods 1
Applications
28 NLP (Unconstrained, multi dimension) Direct search methods: 2
29 Pattern search method 2
30 Steepest descent 3
31 Conjugate gradient method 3

Course Designers:

1. Dr.V.Mohan [email protected]
2. Dr.G.Jothilakshmi [email protected]

13
TCE - M.E. Degree (Communication Systems)

DIGITAL COMMUNICATION Category L T P Credit


14CN120
TECHNIQUES PC 3 1 - 4

Preamble
Digital transmission of information has sufficiently overwhelming advantages that it
increasingly dominates communication systems, and certainly all new designs. The course
“14CN120: Digital Communication Systems” concentrates on the techniques that are used to
design a digital communication systems.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Apply the theory of probability and stochastic processes in the Apply
design of digital communication systems.
CO2. Determine the minimum number of bits per symbol required to Apply
represent a source and maximum rate at which reliable
communication can take place over the channel.
CO3. Describe and determine the performance of different error control Apply
coding schemes for the reliable transmission of digital information
over the channel.
CO4. Describe a mathematical model of a digital communication system, Analyze
characterize the influence of the channel and determine its bit error
rate performance analysis.
CO5. Design Digital Communication Systems as per given specifications. Apply

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - - - - - -
CO2 S L L - L - - L - - -
CO3 S L L - L - - L - - -
CO4 S L L - L - - L - - -
CO5 - M M - M - - M - L -
S- Strong; M-Medium; L-Low

Assessment Pattern
Continuous Assessment Tests End Semester
Bloom’s category Examinations
1 2 3
1 Remember 20 0 0 0
2 Understand 20 20 20 20
3 Apply 60 60 60 60
4 Analyze 0 20 20 20
5 Evaluate 0 0 0 0
6 Create 0 0 0 0

14
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions


Course Outcome 1 (CO1):
1. Assume that X is a random variable with mean  x and variance  x2 . If a linear
transformation Y  aX  b is applied, find the values of a and b such that the mean of
Y is  y  0 and variance  y2  1 .
2. Assume that random processes X (t ) and Y (t ) are individually and jointly stationary.
What is the autocorrelation function of Z (t )  X (t )  Y (t ) when X (t ) and Y (t ) are
uncorrelated and have zero means.
3. Suppose that the low pass filter shown in figure. is excited by a stochastic process x(t )
having power density spectrum
1
 xx  f   N 0 for all f .
2

4. Find the power spectral density of the output


sequence y (t ) and find the
autocorrelation sequence of y (t ) .

Course Outcome 2 (CO2):

1. A Discrete memory less source has an alphabet of x=[x1 x2 x3 x4 x5 x6 x7] with the
statistics P=[ .35 .3 .2 .1 .04 .005 .005].
a. Compute the Huffman code and its average length.
b. Compute the entropy of the sou rce
c. Compute the efficiency of the code.
2. Compute the differential entropy of a random variable x, distributed over the interval
[0,a].
3. The optimum four level non-uniform quantizer for a Gaussian distributed signal
amplitude results in the four levels a1, a2, a3 and a4, with corresponding probabilities
of occurrences p1=p2=0.3365 and p3=p4=0.1635.
a. Design a Huffman code that encodes a single level at a time and determine
the average bit rate
b. Design a Huffman code that encodes two output levels at a time and
determine the average bit rate

Course Outcome 3 (CO3):


1 0 0 1 1 0 

1. A systematic (6,3) linear block code has the generator matrix 0 1 0 0 1 1 .

 
0 0 1 1 0 1 
Construct the Standard array and determine the correctable error patterns and their
corresponding syndromes.

2. The (3,1) convolutional encoder is shown in figure.1. Assume that four information
bits  x1 x2 x3 x4  , followed by two zero bits, have been encoded and sent via a
binary symmetric
channel. The received sequence is
111 111 111 111 111 111 . Find the most likely data sequence using Viterbi
decoding algorithm.

15
TCE - M.E. Degree (Communication Systems)

3. The parity check bits of a (7,3) linear block code are generated by
c4  d1  d2 , c5  d2  d3 , c6  d1  d2  d3 , c7  d1  d3 , where d1, d2, and d3 are the
message digits.
a. Find the Generator Matrix and Parity Check Matrix for this code
b. Find the minimum weight of this code.
c. Find the error correcting capabilities of this code

Course Outcome 4 (CO4):


1. A Binary wave uses on – off signaling to transmit symbols 1 and 0. The symbol 1
is represented by a rectangular pulse of amplitude A and duration T b sec. The
additive noise at the receiver input is white and Gaussian with zero mean and
Power spectral density NO / 2 .Assuming that symbols 1 and 0 occur with equal
probability. Analyze the BER performance of this system.
2. A BPSK signal is applied to a correlation demodulator supplied with a phase
reference that lies within  radians of the exact carrier phase. Determine the
effect of the phase error  on the average probability of error of the system.
3. In coherent FSK system, the signals s1 (t ), s2 (t ) representing symbols 1 and 0
  f  
respectively, are defined by s1 (t ), s2 (t )  A cos  2  f c  t , 0  t  Tb .
  2  
Tb

a. show that the correlation coefficient is  s (t )s (t )dt


1 2

 0
Tb
 sin c  2fTb 
 s1 (t )dt
2

What is the value of f c  f for which s1 (t ), s2 (t ) are orthogonal and minimizes the
probability of symbol error?

Course Outcome 5 (CO5):


1. A voice of bandwidth 3 KHz is to be transmitted over a wireless link. The wireless
link can support a data rate of 4Kbps. Design a baseband digital communication
transceiver to transmit the voice. The required bit error rate is 10-6 at 8.9dB
2. A video of bandwidth 6MHz is to be transmitted over a wireless link. The wireless
link can support a data rate of 1.5M samples/sec. Design a baseband digital
communication transceiver to transmit the voice.
3. A audio of bandwidth 6KHz is to be transmitted over a wireless link. The wireless
link can support a data rate of 16Kbps. Design a baseband digital communication
transceiver to transmit the audio.

16
TCE - M.E. Degree (Communication Systems)

Concept Map

Syllabus
Mathematical Techniques: Probability: Functions of Random Variables – statistical
averages of Random variables, Stochastic Process: Statistical averages, power density
spectrum, Response of LTI system, Preenvelope and complex envelope, Signal Space
Representations, Information Theory: Information, self Information, Entropy- Mutual
Information, Differential Mutual Information – Channel Capacity: Channel Capacity Theorem,
Source Coder: Huffman Coding, Lempel Ziv Coding, Source Coding Theorem, Channel
Coder: Channel Coding Theorem, Linear Block Codes, Cyclic Codes, Convolutional Codes,
Hard Decision Decoding, Soft Decision Decoding, Trellis codes, Turbo Codes Baseband
Modulator: Linear Modulation without memory, Linear Modulation with memory, nonlinear
modulation with memory, Coherent Receiver: Correlation demodulator: Matched Filter
Demodulator Detector: MAP and ML Detector, Noncoherent Receiver: Square law
demodulator and ML detector, Probability of Error: BER Analysis for PSK, ASK, FSK,
QPSK, - Comparison of Binary and Quarternary Modulation - M-ary Modulation Techniques -
Bit Vs Symbol Error Probabilities - Bandwidth Efficiency.
Reference Books
1. John G. Proakis: “Digital Communications”, McGraw Hill International Edition, Fourth
Edition, 2001.
2. Simon Haykin: Digital Communications”, John Wiley & Sons Pvt. Ltd., 2001
3. Simon Haykin: “Communication Systems” 3rd Edition, PHI, 1996.
4. Bernard Sklar: “Digital Communications: Fundamentals and Applications”, 2nd Edition,
Prentice Hall, 2001
5. John R Barry, Edward Lee and David G. Messerschmitt: “Digital Communication”, 3rd
Edition. Springer, 2003.

Course Contents and Lecture Schedule


No. of
No. Topic
Lectures
1. Mathematical Techniques
1.1 Probability: Functions of Random Variables – statistical averages 1
of Random variables
1.2 Stochastic Process: Statistical averages, power density spectrum, 3

17
TCE - M.E. Degree (Communication Systems)

No. of
No. Topic
Lectures
Response of LTI system
1.3 Preenvelope and complex envelope 1
1.4 Signal Space Representations 2
2 Information Theory
2.1 Information, self Information, Entropy 1
2.2 Mutual Information, Differential Mutual Information 2
2.3 Channel Capacity: Channel Capacity Theorem, 2
3 Source Coder
3.1 Source Coding Theorem: Huffman Coding 2
3.2 Lempel Ziv Coding 1
4 Channel Coder
4.1 Channel Coding Theorem: Linear Block Code 2
4.2 Cyclic Codes 2
4.3 Convolutional Codes 2
4.4 Hard Decision Decoding 2
4.5 Soft Decision Decoding 1
4.6 Trellis Codes 1
4.7 Turbo Code 1
5 Baseband Modulator
5.1 Linear Modulation Without Memory 3
5.2 Linear Modulation With Memory 2
5.3 Nonlinear Modulation with memory 3
6 Coherent Receiver
6.1 Correlation Demodulator: Matched Filter Demodulator 3
6.2 ML Detector 2
7 Noncoherent Receiver
7.1 Square Law Demodulator 2
7.2 Detector 1
8 Probability of Error
8.1 BER Analysis of Baseband digital modulation schemes in AWGN 3
environment
Total 45

Course Designers:
1. Dr.S.J. Thiruvengadam [email protected]
2. Dr. M.N.Suresh [email protected]

18
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CN130 COMMUNICATION NETWORKS
PC 3 1 - 4

Preamble
This course presents both fundamental networking concepts and analysis of communication
networks, such as error control and Media access control techniques along with Queuing
models. Also, this course addresses internetworking concepts such as unicast, multicast and
mobile IP routing. It also introduces the students with upcoming network technologies.
Prerequisite: Computer Networks.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1 Analyze the performance of the data network based on queuing model Analyze
CO2 Identify the need for WLAN Remember
CO3 Classify and describe the operation of the routing in unicast, multicast Remember
and mobile IP
CO4 Identify the requirements of optical networking Remember
CO5 Identify the requirements of storage area network, home network and Remember
intelligent networks

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S S S S - - L L L - -
CO2 M M M L - L L L L - -
CO3 S S S M - L L L L - -
CO4 S S S M L L L L L - -
CO5 L L L L L L L L L - -
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 20 20 0 0
2 Understand 40 20 30 30
3 Apply 40 30 40 40
4 Analyze 0 30 30 30
5 Evaluate 0 0 0 0
6 Create 0 0 0 0

19
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions


COURSE OUTCOME 1(CO1):
1. What are the design issues in data link layer?
2. List out the multi access techniques
3. State Little‟s theorem.
4. What is called optical layer?
5. What is the purpose of using DSL cable modem?
6. What do you mean by photonic packet switching?

COURSE OUTCOME 2(CO2):


1. Identify an example of a pattern of six errors that can not be detected by the use of
horizontal and vertical parity checks, if each row with errors and each column with errors
will contain exactly two errors.
2. Describe the reversibility to characterize the departure process of the M/M/1/m queue.
3. What is the role of Kleinrock independence approximation to find the average number of
packets in the system?
4. Justify the arrival theorem for closed networks by inserting a very fast M/M/1 queue
between every pair of queues.
5. Compare Aloha system and slotted Aloha system with „perfect capture‟.
6. Describe the FCFS splitting algorithm with one example.

COURSE OUTCOME 3 & 4(CO3 and CO4):


1. Consider a parity check code with 3 data bits and 4 parity checks. Suppose that 3 of the
code words are 1001011, 0101101, and 00111110. Find the rule for generating each of
the parity checks and find the set of all 8 code words. What is the minimum distance of
this code?
2. Give an example in which Go back n will deadlock if receiving DLCs ignore the request
number in each frame not carrying the awaited packet.
3. Two nodes 1 and 2 send files to another node 3. Files from 1 and 2 require on the
average R1 and R2 time units for transmission, respectively. Node3 processes a file of
node i (i=1,2) in an average of Pi time units and then requests another file from either
node 1 or node2. If Yi is the throughput of node i in files sent from unit time, what is the
region of all feasible throughput pairs (y1, Y2) for this system?
4. Consider a system which is the same as M/M/1 except that whenever there are n
customers in the system there are all served simultaneously at an equal rate 1/n per unit
time. Argue that the steady state occupancy distribution is same as for the M/M/1
system.
5. Derive the stationary distribution of an M/M/2 system where the 2 servers have different
service rates. A customer that arrives when the system is empty is routed to the faster
server.
6. Consider a system that is identical to M/G/1 except that when the system empties out,
service does not begin again unil k customers are present in the system. Once service
begins, it proceeds normally until the system becomes empty again. Verify that the
average length of a busy period is equal to avege time between the arrival and start of
service of the first customer in a busy period, plus k times the average length of a busy
period for the corresponding M/G/1 system.

COURSE OUTCOME 5(CO5):


1. Calculate the expected time to transmit a frame on a 9600 bps link, if the expected frame
length on a link is 1000 bits and the standard deviation is 500 bits.
2. Let Tmin be the minimum transmission time for data frames and T d be the propagation
and processing delay in each direction. Find the maximum allowable value Tmax for frame

20
TCE - M.E. Degree (Communication Systems)

transmission time such that a Go back n ARQ system will never have to go back or wait
in the absence of transmission errors or lost frames.
3. Consider a datagram network and assume that M is the maximum number of packets
that can be sent by a session while a given packet still exists within the network. Assume
that selective repeat ARQ is used for the session with the window size of n. Show that
the modulus of m must satisfy m≥2n+M
4. Consider a packet stream whereby packets arrive according to a poisson process with
rate 10packets/sec. If the interarrival time between any two packets is less than the
transmission time of the first to arrive the 2 packets are said to collide. Find the
probabilities that packet does not collide with either its predecessor or successor and
that packet dose not collide with another packet assuming all packets have transmission
time of 20ms.
5. Consider M/M/1/m system which is the same as M/M/1 except that there can be no more
than m customers in the system and customers arriving when the system is full are lost.
Determine the steady state occupancy probabilities.
6. Consider the non pre-emptive priority queuing system for the case where the available
capacity is sufficient to handle the highest priority traffic but can not handle the traffic of
all priorities, that is, p1<1<p1+p2+...+pn.

Concept Map

Syllabus
Introduction: Overview of network architecture, Point-to –point protocols and links – Error
detection, ARQ retransmission strategies, Multi access communication – Slotted multi
access and the Aloha system, splitting algorithms and carrier sensing Delay Models In Data
Networks: Introduction, Queuing models: Little‟s Theorem, M/M/1 Queuing system, M/M/m,
M/M/m/m and other Markov systems and M/G/1 systems Internetworking: VLAN-
Addressing and routing-network layer protocols-unicast and multicast routing-Mobile IP
routing -Evolution in the Ethernet-Switched and fast Ethernet-Infrastructure-Scaling to
Gigabit architectures-Performance consideration-Physical components and wire protocols
Optical Networks: Optical layers- Services and interfacing- Photonic packet switching-
Access networks-Network survivability- Protection- Optical IP- OTN/SONET/SDH layers
structure and design relation to 10 Gigabps Ethernet Network Technologies: SAN (Storage
Area Networks) and Infinite band architectures-Home networking- DSL cable modems- Zero
copy systems-Intelligent networks.

21
TCE - M.E. Degree (Communication Systems)

Reference Books
1. Dimitri Bertsekas and Robert Gallager, „Data Networks‟, PHI, 2009.
2. Ramaswami R and Sivarajan K, „Optical Networks: A Practical Perspective‟,
Morgan Kaufmann, 2001.
3. Clark T, „IP SANs‟, Addison Wesley, 2002.
4. Kurose J.F, Ross K. W, „Computer Networking, Top-down Approach Featuring the
Internet‟, Addison Wesley, 2005.

Course Contents and Lecture Schedule


No of
No. Topics
Lectures
1 Introduction
1.1 Overview of network architecture 2
Point-to –point protocols and links – Error detection, ARQ
1.2 3
retransmission strategies
Multi access communication – Slotted multi access and the ALOHA
1.3 3
system, splitting algorithms and carrier sensing
2 Delay Models In Data Networks
2.1 Introduction, Queuing models: Little‟s Theorem 3
M/M/1 Queuing system, M/M/m, M/M/ᾳ and other Markov systems
2.2 4
and M/G/1 systems
3 Internetworking
3.1 VLAN-Addressing and routing 3
network layer protocols-unicast and multicast routing-Mobile IP
3.2 3
routing
3.3 Evolution in the Ethernet-Switched and fast Ethernet 3
Infrastructure-Scaling to Gigabit architectures-Performance
3.4 4
consideration-Physical components and wire protocols
4 Optical Networks
4.1 Optical layers- Services and interfacing- Photonic packet switching 3
4.2 Access networks-Network survivability- Protection 3
Optical IP- OTN/SONET/SDH layers structure and design relation to
4.3 4
10 Gigabps Ethernet
5 Network Technologies
5.1 SAN (Storage Area Networks) and Infinite band architectures 2
5.2 Home networking- DSL cable modems- Zero copy systems 3

5.3 Intelligent networks 2

Total Number of Hours 45

Course Designers:
1. Dr.R. Sukanesh [email protected]
2. Dr.M. Suganthi [email protected]
3. Dr.M.S.K. Manikandan [email protected]
4. Mrs. E. Murugavalli [email protected]

22
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CN140 MICROWAVE CIRCUITS AND SYSTEMS
PC 3 1 - 4

Preamble
The unprecedented success of wireless communications created an unexpected demand for
RF/Microwave communications engineers. This program aims to provide students with the
technological skills needed in the design and engineering of modern Microwave systems and
subsystems. This course focuses on the learning of characterization of two port networks,
planar transmission lines, impedance matching concepts, passive circuit design, active
circuit design and microwave applications.
Prerequisite: Electromagnetic fields.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Characterize the reciprocal networks, lossless networks in terms of Remember


S-Parameters
CO2. Understand the behaviour of planar transmission lines such as Understand
microstrip line, stripline, cpw line and slotline
CO3. Design of lumped and distributed impedance matching networks Create
CO4. Design and operation of passive microwave devices such as power Create
dividers, couplers and filters
CO5. Design and operation of active microwave devices such as Create
amplifiers, mixers and oscillators
CO6. Understand the concept of wireless transceiver architecture Understand

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - - - - - -
CO2 S - M - M - - - - - -
CO3 S - M - M - M L - - -
CO4 M - M - M - M L - - -
CO5 M - M - M - L L - - -
CO6 M - L - - - - M
S- Strong; M-Medium; L-Low
Assessment Pattern
Continuous Assessment Tests End Semester
Bloom’s category Examinations
1 2 3
1 Remember 10 10 10 10
2 Understand 20 20 10 10

23
TCE - M.E. Degree (Communication Systems)

3 Apply 40 40 60 60
4 Analyze 0 0 0 0
5 Evaluate 0 0 0 0
6 Create 30 30 20 20

Course Level Assessment Questions

Course Outcome 1
1. State Kroneckor delta property.
2. List the factors which are responsible for impedance matching
3. What is a stub?
4. Mention the quantities used to characterize a directional coupler.
5. Define coupling factor, directivity.
 011 
6. Verify that the given matrix [S] =  101 is not an unitary matrix.
 

 11 0 

Course Outcome 2 (CO2 &CO6)

1. Why quarter wave transformer is essential?


2. What is the need for tapered line?
3. What is even and odd mode excitation?
4. Explain the concept of coupled lines.
5. A maximally flat low pass filter is to be designed with a cut off frequency of 8 GHz
and a minimum attenuation of 20 dB at 11 GHz. How many filter elements are
required?.
6. Justify that any three port network cannot be lossless, reciprocal and matched at all
ports.
7. Justify that Quadrature couplers are capable of producing 90 degree phase shift in
the output port and the power available is 3dB.

Course Outcome 3

1. Find the S parameters of the 3 dB attenuator circuit shown in figure

2. A certain two port network is measured and the following scattering matrix is
obtained:
 0.10 0.890  
i. [S] =  
0.890 0.20 

ii. From this data determine whether the network is reciprocal or


lossless.
3. A lossless T junction power divider has a source impedance of 50 ohms. Find the
output characteristic impedances so that the input power is divided in a 2:1 ratio.
Compute the reflection coefficients seen looking into the output ports.

24
TCE - M.E. Degree (Communication Systems)

4. The S parameters for the HP HFET-102 GaAS FET at 2 GHz with the bias voltage
Vgs=0 are given as follows: S11=0.894   60.6 ,S21=3.122 123.6 ,S12=0.020
 

62.4  ,S22=0.781   27.6  . Determine the stability of this transistor by calculating


K and │Δ│.
5. Determine the scattering matrix for each of the lossless transmission lines shown
below, relative to a system impedance of Zo. Verify that each matrix is unitary.

6. Find the S parameters for the series and shunt loads shown below. Show that
S12=1-S11 for the series case and that S12=1+S11 for the shunt case. Assume
characteristic impedance Zo.

Course Outcomes (CO4 and CO5)

1. Design an L section matching network to match a series RC load with an impedance


ZL=200-j100 Ω to a 100 Ω line, at a frequency of 500 MHz.
2. For a load impedance ZL= 15+j10 Ω, design two single stub shunt tuning networks to
match this load to a 50 Ω line. Assume the load is matched at 2 GHz and the load
consists of a resistor and inductor in series.
3. Design a double stub shunt tuner to match a load impedance ZL=60-j80 Ω to a 50Ω
line. The stubs are to be short circuited stubs and are spaced λ/8 apart. Assume that
this load consists of a series resistor and capacitor and the match frequency is 2
GHz.
4. Design a maximally flat low pass filter with a cut-off frequency of 2 GHz,
impedance 50 Ω, and at least 15 dB insertion loss at 3 GHz.
5. Design a stepped impedance low pass filter having a maximally flat response and a
cut off frequency of 2.5 GHz. It is necessary to have more than 20 dB insertion loss
at 4 GHz, the filter impedance is 50 Ω, the highest impedance is 150 Ω and the
lowest is 10 Ω.

25
TCE - M.E. Degree (Communication Systems)

Concept Map

Syllabus
Microwave Circuits: S parameters: reciprocal networks, Lossless networks, Planar
transmission Lines: Micro strip, Slot line, Strip and coplanar lines. Impedance matching:
Matching with lumped elements, Stub matching- Single and double stub using Smith chart
solutions, Quarter wave transformer, tapered lines- Exponential taper, triangular taper.
Passive circuit design: Dividers: Lossless divider, Resistive divider, Wilkinson power
divider, Couplers: even odd mode excitation,90 degree Hybrid Coupler,180 degree coupler,
Filter design: Periodic structures, Insertion loss method, maximally flat low pass filter,
stepped impedance low pass filter, filter transformation, filter implementation, Active Circuit
Design: High power transistors, Introduction to amplifier design, Gain and stability, single
stage amplifier design, LNA amplifier design, Concepts of mixers, Single ended mixers,
Single balanced mixers, oscillator design, Dielectric resonator model Microwave systems:
Radar systems: Radar equation, pulse radar, Doppler radar, A typical wireless transceiver.
Reference Books
1. David M. Pozar,” Microwave Engineering,” John Wiley & Sons, 1998.
2. David M. Pozar,” Microwave & RF Design of Wireless Systems,” John Wiley & Sons,
1998.
3. R.E.Collin,” Foundations of Microwave Engineering,” Tata McGraw Hill, 1995.
4. www.agilent.com
Course Contents and Lecture Schedule
Sl.No: Topic No. of Lectures
Microwave Circuits and System
1 S parameters
1.1 Scattering parameters 1
1.2 Reciprocal and Lossless networks 2
2 Transmission Lines 1
2.1 Planar Transmission Lines

26
TCE - M.E. Degree (Communication Systems)

2.1.1 Microstrip 0.5


2.1.2 Coplanar wave guide 0.5
2.1.3 Strip line 0.5
2.1.4 Slot line 0.5
Impedance Matching
Review of Smith chart 1
2.2 Lumped element matching 2
2.3 Stub matching
2.3.1 Single stub matching –Series 2
2.3.2 Single stub matching –shunt 2
2.3.3 Double stub matching 2
Problems on Smith chart 2
2.4 Quarter wave Transformer 1
2.5 Tapered Lines 1
3 Passive circuit design
3.1 Dividers –Properties 2
3.1.1 Lossless and resistive dividers 1
3.1.2 Wilkinson power divider 1
3.2 Couplers –Even and odd mode excitation 1
3.2.1 90 degree Hybrid couplers 2
3.2.2 180 degree coupler 2
3.3 Filters
3.3.1 Periodic structures 2
3.3.1 Filter design by insertion loss method 2
3.3.2 Stepped impedance LPF 2
3.3.3 Filter transformations 2
3.3.4 Filter implementations 2
4 Active circuit design
4.1 High power transistors 1
4.1.1 Introduction to amplifier design 1
4.2 Gain and stability 4
4.3 Single stage amplifier design 4
4.4 Low Noise amplifier design 4
4.5 Mixer concepts 1
4.5.1 Single ended and balanced mixers 2
4.6 Oscillator design 2
4.7 Dielectric resonator model 1
5 Microwave systems
5.1 Radar systems 1
5.1.1 Radar equation 1
5.1.2 Pulse radar 1
5.1.3 Doppler radar 1
5.2 Wireless Transceiver architecture 1
Course Designers:
1. Dr. V.Abhaikumar [email protected]
2. Dr. S.Kanthamani [email protected]

27
TCE - M.E. Degree (Communication Systems)

IMAGE PROCESSING AND Category L T P Credit


14CN150
RECOGNITION PC 3 0 - 3

Preamble
The purpose of this course is to provide the basic concepts and methodologies for Digital
Image Processing in three different levels. At the lowest level, the course introduces the
terminology of image processing, different imaging technologies and the algorithms deal
directly with the raw pixel values. In the middle level, it addresses the Quality improvement
techniques like enhancement and restoration approaches, segmentation and image
representation techniques for analysis purpose. At the highest level, it addresses the
classification using statistical decision making and it includes the image processing
applications with few case studies.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Enhance and Restore images in spatial as well as frequency Apply


domains
CO2. Segment given images in terms of edge, threshold and region Apply
CO3. Apply morphological operations like dilation, erosion, opening and Apply
closing on given images
CO4. Represent , recognize and classify objects from the given images Apply
CO5. Analyze different case studies like Face image feature extraction, Analyze
video Motion imaging, watermarking.

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S M M M M M L L - M -
CO2 S M M M M M L L - M M
CO3 M L L L S L L L - M L
CO4 L L S M L L M L M M M
CO5 M M L S M M M M M M -
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 20 20 20 20
2 Understand 40 40 20 20
3 Apply 40 40 40 40
4 Analyze 0 0 20 20
5 Evaluate 0 0 0 0

28
TCE - M.E. Degree (Communication Systems)

6 Create 0 0 0 0

Course Level Assessment Questions


COURSE OUTCOME 1(CO1):
1. List the properties of first and second order derivatives.
2. Give the PDF of Erlang noise and sketch the PDF.
3. Explain sharpening in frequency domain filters.
4. Consider two 8-bit images whose gray levels span the full range from 1 to 255. Will
reversal of the order of the images yield a different result?
5. For a 5 bit input matrix perform histogram equalization.
10 10 15 0 0
5 0 10 10 15
15 15 2 0 10
5 5 0 10 30
6. Find the filter output using Alpha trimmed mean filter for the following matrix.
Assume d=10.
5 10 15 20 5
10 10 15 20 5
5 10 20 5 5
20 15 15 10 0
15 0 5 15 20
7. Suppose that you form a low pass spatial filter that averages the four immediate
neighbors of a point (x, y) but excludes the point itself.
a) Find the equivalent filter H(u, v) in the frequency domain.
b) Show that your result is low pass filter.

COURSE OUTCOME 2(CO2):


1. Write the masks to detect horizontal and vertical lines in an image
2. State convolution and correlation.
3. A binary image contains straight lines oriented horizontally, vertically, at 45o and -45o.
Give a set of 3X3 masks that can be used to detect one pixel long breaks in these lines.
Assume that the gray level of the line is 1 and that the gray level of the background is 0.
4. With reference to this equation
−𝑟 2
2 𝑟 2 −𝜎 2
∇ ℎ 𝑟 =− 𝜎4
𝑒 2𝜎 2

a. Show that the average value of the laplacian Δ2h=0


b. Prove that the average value of any image convolved with this operator is
also zero.

COURSE OUTCOME 3(CO3):


1. (i) Give a morphological algorithm for converting an 8-connected binary boundary to an
m-connected boundary. you may assume that the boundary is fully connected.
a. Does the operation of your algorithm require more than one iteration with
each Structuring element? Explain your reasoning.
b. Is the performance of your algorithm independent of the order in which the
Structuring elements are applied? if your answer is yes, prove it. otherwise
give an example that illustrates the dependence of your procedure on the
order of application of the structuring elements.
2. Erode the region consisting of 1‟s in the following image using the operator 2. *3.

.The „*‟ denotes the region of the operator.

29
TCE - M.E. Degree (Communication Systems)

0 0 1 0 0 0
0 1 1 1 0 0
0 1 0 1 1 0

COURSE OUTCOME 4(CO4):


1. Differentiate KNN Decision making and Bayesian Decision making?
2. The Bayes decision functions dj(x)=p(x/ωj)p(ωj), j=1,2,…W, were derived using a 0-1
loss function. Prove that these decision functions minimize the probability of
error.(Hint: The probability of error p(e) is 1-p(c) where p(c) is the probability of being
correct. For pattern vector x belonging to class ωj p(c/x)=p(ωj/x). Find p(c) and show
that p(c) is maximum (p(e) is minimum when p(x/ ωj) p(ωj)is maximum)
3. Specify the structure and weights of a neural network capable of performing exactly
the same function as a minimum distance classifier for two pattern class in N-
dimensional place. Obtain the signatures for a pentagon and a rectangle.
4. What is the use of Bayesian Decision Making?
5. Specify the structure and weights of a neural network capable of performing exactly
the same function as a bayes classifier for two pattern classes in n-dimensional
space. The classes are Gaussian with different means but equal covariance
matrices.
6. Explain the system flow for the character recognition.

COURSE OUTCOME 5(CO5):


1. You are contracted to design a image processing system for detecting imperfection
on the inside of certain solid plastic wafers. The wafers are examine using an X-ray
imaging system which yields 8-bit images of 512×512 resolution. In the absence of
imperfection the images appear “bland” having a mean gray level of 100 and
variance 400.The imperfection appear as blob like regions in which 70% of the pixels
have excursion in intensity of 50 gray levels or less about a mean of 100.A wafer is
considered defective such a region occupies an area exceeding 20 ×20 pixels in
size. Propose a system based on texture analysis.
2. Evaluate the performance of spatial domain and frequency domain Watermarking
3. Analyze the various steps involved to extract facial features for recognizing

Concept Map

30
TCE - M.E. Degree (Communication Systems)

Syllabus
Imaging Fundamentals: Introduction to Imaging Technologies-Photographic- X-Ray-MRI-
SAR-IR imaging–Image Representations- Image Transforms- DCT- Walsh-Hadamard-
Hotelling- Wavelet–Curvelet. Image Quality Enhancement: contrast- noise- Sharpness –
Gray level Transformation – Histogram processing –Spatial Domain spatial filtering –
smoothing, sharpening filters- Frequency Domain Smoothing, sharpening Image
Restoration Techniques – Inverse-Wiener Processing and Analyzing Images: Point
Detection- Line Detection – Edge Detection – Scene Segmentation and labeling – Counting
objects – Perimeter measurement- Hough Transform – Shape of Regions- Morphological
operations –Texture Statistical Decision Making: Bayes Theorem – Multiple features-
Decision Boundaries- Confusion matrices- Non parametric Histogram-Single nearest
neighbor technique-K-NN Imaging Applications: System design- Optical character
Recognition- Rule based Character Recognition- Face and Facial feature Extraction - Video
motion Analysis- Image Fusion- Watermarking – spatial & frequency domain.

Reference Books
1. Rafael.C.Gonzalez and Richard.E. Woods, “Digital Image Processing”, Pearson
Education, 2003
2. Earl Gose, Richard Johnson Baugh, “Pattern Recognition and Image analysis”,
Prentice Hall India Pvt Ltd, 2004
3. William.K.Pratt, “Digital Image Processing”, Fourth edition, A John Wiley and
Publications.
4. G.W.Awcock & R.Thomas, “Applied Image Processing” McGraw-Hill Inc..
5. Frank.Y.Shih, “ Image Processing and Pattern Recognition Fundamentals and
Techniques” , A John Wiley & sons publication

Course Contents and Lecture Schedule


No. Topic No. of Lectures
1. Introduction to Imaging Technologies
1.1 Photographic 1
1.2 X-Ray, MRI, 1
1.3 SAR, IR, Image Representations 1
2.0 Image Transforms
2.1 Discrete CosineTransform 1
2.2 Walsh 1
2.3 Hadamard 1
2.4 hotelling 1
2.5 Wavelet 1
2.6 curvelet 1
3.0 Qualities
3.1 contrast 1
3.1.1 Gray level Transformation 1
3.1.2 Histogram Processing 1
3.2 Noise
3.2.1
3.2.2 Uniform, Gaussian, Salt & pepper , Log normal, Rayleigh ,
1
3.2.3 Exponential ,Erlang
3.2.4 Spatial domain
3.2.4.1 Smoothing filter 1
3.2.5 Frequency domain
3.2.5.1 Smoothing filter 1
3.2.5.2 Restoration Techniques 1

31
TCE - M.E. Degree (Communication Systems)

3.2.5.2.1,
Wiener and Inverse 1
3.2.5.2.2
3.3 Sharpness 1
3.3.1 Spatial domain
3.3.1.1 Sharpening filters 1
3.3.2 Frequency domain
3.3.2.1 Sharpening filters 1
4.0 Segmentation
4.1 Point detection
4.2 1
Line detection
4.3 Edge detection 1
4.4 Scene Segmentation and labelling 1
5.0
Feature Extraction
5.1 Counting objects 1
5.2 perimeter measurement 1
5.3,5.4 Hough transforms, shape of regions 1
5.5 morphological operations 1
5.6 Texture 1
6.0 Statistical decision making
6.1 Bayes‟ theorem 1
6.2 multiple features 1
6.3 decision boundaries 1
6.4 confusion matrices 1
6.5 Non-parametric-Histogram, 2
6.6 single nearest neighbor techniques 1
6.7 k-NN 1
7.0 Applications
7.1 Optical character Recognition 1
7.2 Rule based Character Recognition 1
7.3 Face and Facial feature Extraction 1
7.4 Video motion Analysis 1
7.5 Image Fusion 1
7.6 Watermarking –spatial domain & Frequency domain 2

Course Designers:

1. Dr. S.Md.Mansoor Roomi, [email protected]


2. Dr. A.Banumathi, [email protected]

32
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CN160 DIGITAL INTEGRATED SYSTEMS
PC 3 1 - 4

Preamble
The proposed course is offered in the first semester. This course „14CN160: Digital
Integrated Systems‟, a departmental core course, is prerequisites are undergraduate course
on Digital Circuits and Systems, Electrical circuit theory and CMOS VLSI Systems. This
course will be followed by a elective course: ASIC Design. The course aims at
understanding the engineering and design principles of VLSI (Very Large Scale Integration)
CMOS technology for application in digital integrated circuits and subsystems.

Prerequisite
Nil
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Design combinational logic gates including static CMOS and Apply
dynamic CMOS
CO2. Design sequential logic circuits including static and dynamic Create
latches/registers
CO3. Model interconnect parasitics Analysis
CO4. Design arithmetic building blocks including adder, multiplier and Create
shifters
CO5. Design memory array structures including peripheral memory Create
circuits and power dissipation in memories

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S S M L - - - - - - -
CO2 S S S L - - - - - - -
CO3 S S M L - - - - - - -
CO4 S S M L L - - M - - -
CO5 S M M L - - - L - - -
S- Strong; M-Medium; L-Low
Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 20 10 0 0
2 Understand 30 30 20 20
3 Apply 30 40 50 50
4 Analyze 0 0 0 0
5 Evaluate 0 0 0 0
6 Create 20 20 30 30

Course Level Assessment Questions

Course Outcome 1 (CO1):

33
TCE - M.E. Degree (Communication Systems)

1. Design a static CMOS inverter that meets the following requirements:


Matched pull-up and pull-down times (i.e., tpHL = tpLH).
tp = 5 nsec (± 0.1 nsec).
The load capacitance connected to the output is equal to 4 pF. Notice that this
capacitance is substantially larger than the internal capacitances of the gate. Determine
the W and L of the transistors. To reduce the parasitics, use minimal lengths (L = 1.2
mm) for all transistors.
2. Implement the equation X = ((A’ + B’ ) (C’ + D’ + E’ ) + F’ ) G’ using complementary
CMOS. Size the devices so that the output resistance is the same as that of an inverter
with an NMOS W/L = 2 and PMOS W/L = 6. Which input pattern(s) would give the worst
and best equivalent pull-up or pull-down resistance?
3. Implement the following expression in a full static CMOS logic fashion using no more
than 10 transistors:
Y’ = (A . B) + (A . C . E) + (D . E) + (D . C . B)
4. Implement F = AB’C’ + A’CD (and F’ ) in DCVSL. Assume A, B, C, D, and their
complements are available as inputs. Use the minimum number of transistors.
5. Implement the function S = ABC + AB’C’ + A’B’C + A’BC’, which gives the sum of two
inputs with a carry bit, using NMOS pass transistor logic. Design a DCVSL gate which
implements the same function. Assume A, B, C, and their complements are available as
inputs.
6. Suppose we wish to implement the two logic functions given by F = A + B + C and G = A
+ B + C + D. Assume both true and complementary signals are available.
a. Implement these functions in dynamic CMOS as cascaded f stages so as to minimize
the total transistor count.
b. Design an np-CMOS implementation of the same logic functions. Does this design
display any of the difficulties of part (a)?

Course Outcome 2 (CO2):

1. Determine the mode of operation (saturation, linear, or cutoff) and drain current ID for
each of the biasing configurations given below. Use the following transistor data: NMOS:
k'n = 115μA/V2, VT0 = 0.43 V, λ = 0.06 V–1, PMOS: k'p = 30μA/V2, VT0 = –0.4 V, λ = -
0.1 V–1. Assume (W/L) = 1.
a. NMOS: VGS = 2.5 V, VDS = 2.5 V. PMOS: VGS = –0.5 V, VDS = –1.25 V.
b. NMOS: VGS = 3.3 V, VDS = 2.2 V. PMOS: VGS = –2.5 V, VDS = –1.8 V.
c. NMOS: VGS = 0.6 V, VDS = 0.1 V. PMOS: VGS = –2.5 V, VDS = –0.7 V.
2. An NMOS device is plugged into the test configuration shown below in Figure below. The
input Vin =2V. The current source draws a constant current of 50 μA. R is a variable
resistor that can assume values between 10kΩ and 30 kΩ. Transistor M1 experiences
short channel effects and has following transistor parameters: k‟ = 110*10-6 V/A2, VT =
0.4 , and VDSAT = 0.6V. The transistor has a W/L = 2.5μ/0.25μ. For simplicity body
effect and channel length modulation can be neglected. i.e λ=0, γ=0.
a. When R =10kΩ find the operation region, VD and VS.
b. When R= 30kΩ again determine the operation region VD, VS
c. For the case of R = 10kΩ, would VS increase or decrease if λ ≠ 0. Explain qualitatively

34
TCE - M.E. Degree (Communication Systems)

3. The superscalar, superpipelined, out-of-order executing, highly parallel, fully x86


compatible JMRII microprocessor was fabricated in a 0.25 m technology and was able to
operate at 100MHZ, consuming 10 watts using a 2.5 V power supply.
a. Using fixed voltage scaling, what will the speed and power consumption of the same
processor be if scaled to 0.1 μm technology?
b. If the supply voltage on the 0.1 μm part were scaled to 1.0 V, what will the power
consumption and speed be?
c. What supply should be used to fix the power consumption at 10 watts? At what speed
would the processor operate?
4. Figure below shows a clock-distribution network. Each segment of the clock network
(between the nodes) is 5 mm long, 3 μm wide, and is implemented in polysilicon. At each
of the terminal nodes (such as R) resides a load capacitance of 100 fF.

a. Determine the average current of the clock driver, given a voltage swing on the
clock lines of 5 V and a maximum delay of 5 nsec between clock source and
destination node R. For this part, you may ignore the resistance and inductance of
the network
b. Unfortunately the resistance of the polysilicon cannot be ignored. Assume that
each straight segment of the network can be modeled as a Π-network. Draw the
equivalent circuit and annotate the values of resistors and capacitors.
c. Determine the dominant time-constant of the clock response at node R.
5. For the circuit in Figure 0.1, assume a unit delay through the Register and Logic blocks
(i.e., tR = tL = 1). Assume that the registers, which are positive edge-triggered, have a
set-up time tS of 1. The delay through the multiplexer tM equals 2 tR.
a. Determine the minimum clock period. Disregard clock skew.
b. Repeat part a, factoring in a nonzero clock skew: δ = t′θ – tθ = 1.
c. Repeat part a, factoring in a non-zero clock skew: δ = t′θ – tθ = 4.
d. Derive the maximum positive clock skew that can be tolerated before the circuit fails.
e. Derive the maximum negative clock skew that can be tolerated before the circuit fails.

35
TCE - M.E. Degree (Communication Systems)

Course Outcome 3 (CO3):

6. A two-stage buffer is used to drive a metal wire of 1 cm. The first inverter is of minimum
size with an input capacitance Ci=10 fF and an internal propagation delay tp0=50 ps and
load dependent delay of 5ps/fF. The width of the metal wire is 3.6 μm. The sheet
resistance of the metal is 0.08 Ω/ , the capacitance value is 0.03 fF/μm2 and the fringing
field capacitance is 0.04fF/μm.
a. What is the propagation delay of the metal wire?
b. Compute the optimal size of the second inverter. What is the minimum delay through
the buffer?
c. If the input to the first inverter has 25% chance of making a 0-to-1 transition, and the
whole chip is running at 20MHz with a 2.5 supply voltage, then what‟s the power
consumed by the metal wire?
7. A standard CMOS inverter drives an aluminium wire on the first metal layer. Assume
Rn=4kΩ, Rp=6kΩ. Also, assume that the output capacitance of the inverter is negligible in
comparison with the wire capacitance. The wire is .5um wide, and the resistivity is 0.08
Ω/cm.
a. What is the "critical length" of the wire?
b. What is the equivalent capacitance of a wire of this length?
8. Consider an isolated 2mm long and 1μm wide M1 (Metal1) wire over a silicon substrate
driven by an inverter that has zero resistance and parasitic output capacitance. How will
the wire delay change for the following cases? Explain your reasoning in each case.
a. If the wire width is doubled.
b. If the wire length is halved.
c. If the wire thickness is doubled.
d. If thickness of the oxide between the M1 and the substrate is doubled.

Course Outcome 4 (CO4):


1. Design a Complimentary Static CMOS Full Adder.
2. Design a 4x4b-bit CMOS Barrel Shifter.
3. Design 4-bit CMOS carry look-ahead adder.
4. Design 8-to-1 CMOS multiplexer using 2-to-1 CMOS multiplexer.

Course Outcome 5 (CO5):


1. Design a 8x8 MOS NOR ROM.
2. Design a 4x4 MOS NAND ROM.
3. Design a 8-to-1 column decoder for accessing memory.
4. Design a 4x4 CAM memory

Concept Map

36
TCE - M.E. Degree (Communication Systems)

Syllabus
VLSI CMOS Technology: Static/Dynamic behaviour of MOSFET, Static/Dynamic behaviour
of CMOS Inverter, Designing Combinational and Sequential Logic Circuits, Implementation
strategies of Digital ICs, Interconnects: Electrical Wire Models, Capacitive Parasitics,
Resistive Parasitics, Inductive Parasitics, Advanced Interconnect Techniques. Timing
Issues in Digital Circuits: Synchronous Interconnect, Synchronous Design, Self-Timed
Circuit Design, Clock Synthesis and Synchronization Using a Phase-Locked Loop,
Synchronous versus Asynchronous Design. Designing Arithmetic Building Blocks:
Adders, Multipliers, Shifters, Datapaths in Digital Processor Architectures, Power and Speed
Trade-off‟s in Datapath Structures. Designing Memory: Memory Core, Peripheral Memory
Circuits, Memory Reliability and Yield, Power Dissipation in Memories, Case Studies in
Memory design.

Reference Books
1. Jan M. Rabaey, Anantha P. Chandrakasan, Borivoje Nikolić, "Digital Integrated
Circuits: A Design Perspective", Prentice Hall, Third Edition, 2008.
2. N. Weste and K. Eshraghian, “Principles of CMOS VLSI Design: A Systems
Perspective”, Second Edition, Addison-Wesley, 1993, Third Impression 2010.
3. Weste Neil, David Harris, “CMOS VLSI Design: A Circuits and Systems Perspective”,
Fourth Edition, Addison Wesley, 2010.
4. R. Jacob Baker, “CMOS Circuit Design, Layout, and Simulation”, Wiley-IEEE,
Revised Second Edition, 2008.
5. John P. Uyemura, ”Introduction to VLSI Circuits and Systems”. John Wiley & Sons,
2002.
6. John P. Uyemura, ”CMOS Logic Circuit Design”. Kluwer Academic Publishers, 2001.
7. John P. Uyemura, ” Chip Design for Submicron VLSI: CMOS Layout and simulation”.
Thomson/Nelson, 2006.
8. Pucknell, “Basic VLSI Design”, Prentice Hall, 1995.
9. Wayne Wolf, “Modern VLSI Design: System On Chip”, Pearson Education, 2002.

Course Contents and Lecture Schedule

No. Topic No. of Lectures


1 VLSI CMOS Technology
1.1 Static/Dynamic behaviour of MOSFET 1
1.2 Static/Dynamic behaviour of CMOS Inverter 1

37
TCE - M.E. Degree (Communication Systems)

1.3 Designing Combinational and Sequential Logic Circuits 2


1.4 Implementation strategies of Digital ICs 2
2 Interconnects
2.1 Electrical Wire Models 1
2.2 Capacitive Parasitics 2
2.3 Resistive Parasitics 2
2.4 Inductive Parasitics 2
2.5 Advanced Interconnect Techniques 2
3 Timing Issues
3.1 Synchronous Interconnect 1
3.2 Synchronous Design 2
3.4 Self-Timed Circuit Design 1
3.5 Clock Synthesis and Synchronization Using a Phase- 1
Locked Loop
3.6 Synchronous versus Asynchronous Design 1
4 Designing Arithmetic Building Blocks
4.1 Adders 2
4.2 Multipliers 2
4.3 Shifters 1
4.4 Datapaths in Digital Processor Architectures 1
4.5 Power and Speed Trade-off‟s in Datapath Structures 1
5 Designing Memory
5.1 Memory Core 1
5.2 Peripheral Memory Circuits 2
5.3 Memory Reliability and Yield 1
5.4 Power Dissipation in Memories 2
5.5 Case Studies in Memory design 1

Course Designers:
1. Dr.S. Rajaram [email protected]
2. Mr. V. R. Venkatasubramani [email protected]

38
TCE - M.E. Degree (Communication Systems)

COMMUNICATION SYSTEMS Category L T P Credit


14CN170
LABORATORY PC 0 0 1 1

Preamble
This laboratory supplements the theory course (14CN120 Digital Communication
Techniques) assist the students in obtaining a better understanding of the operation of
different modules of digital communication systems and to provide experience in analyzing
and test of digital communication systems using simulation software as well as lab
instruments
Prerequisite
NIL
Course Outcomes
On successful completion of the course, a student will be able to
CO1 Generate standard discrete time signals, correlated and Apply
uncorrelated random processes
CO2 Simulate the source coding and channel coding techniques Apply
CO3 Simulate the BER performance of Binary digital modulation Apply
techniques
CO4 Design, construct and test a scrambler and descrambler Create
with given polynomial
CO5 Implement a transceiver of given specification Create
Mapping with Programme Outcomes
COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - L - S - - L - - -
CO2 S - M - S - - L - - -
CO3 S L - - S - - L - - -
CO4 S L L - S - - L - - -
CO5 S M M L S - - L - - -

List of Experiments
1. Simulation of standard discrete time signals
2. Generation of Random Samples and correlated Random Samples
3. Source Coding Techniques
a. Huffman Coding
b. Lempel-Ziv Algorithm
4. Error Control Coding (Linear Block Code, Cyclic Code, Convolutional Code)
5. Generation and detection of binary digital modulation techniques
6. BER performance Analysis of Binary digital Modulation Techniques in AWGN
Environment (Binary Phase Shift Keying, Amplitude Shift Keying, Frequency Shift
Keying)
7. Scrambler and Descrambler
8. Generation of Minimum Shift Keying Signal
9. HDL Simulation of PN Sequence Generator
10. HDL Simulation of convolutional Coder

Course Designers:
1. Dr.S.J. Thiruvengadam [email protected]
2. Dr.S.Rajaram [email protected]

39
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CN210 BASEBAND COMMUNICATION SYSTEM
PC 3 1 - 4

Preamble
The course „Baseband Communication System‟ is offered as a core course in the second
semester in continuation with the course on „14CN120 Digital Communication Techniques‟.
This course deals with transmission of digital signals over finite bandlimited channels and
bandlimited wireless channels. The bandlimited channel creates ISI in addition to the
AWGN. This can be mitigated either by properly designing pulse shapes in the transmitter or
by equalizers in the receiver. The Wireless channel creates fading which can be mitigated
using diversity techniques. This course will help the students to develop receiver algorithms
for the various wireless communication standards.
Prerequisite
14CN120 Digital Communication Techniques
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Describe the characteristics of Inter Symbol Interference and design Apply
pulse shape for transmission of digital signals through finite bandwidth channels
for suppressing ISI.
CO2. Detect the transmitted data using techniques such as maximum Apply
likelihood sequence detector, zero forcing detector and MMSE detector in the
presence of ISI.
CO3. Describe the design principles of equalizers such as linear equalizer, Apply
Decision Feedback Equalizer, MMSE equalizer and fractionally spaced
equalizer and design the suitable equalizer for the given channel specifications.
CO4. Apply adaptive filtering concept for the design of equalizers suitable for Apply
time varying channels.
CO5. Describe the characteristics of fading and principles of different Apply
diversity techniques in mitigating fading and analyze the bit error rate of given
digital modulation techniques in frequency flat and frequency selective fading
environments.

Mapping with Programme Outcomes


Cos PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S L L - L - - L - L -
CO2 S L L - L - - L - - -
CO3 S L L - L - - L - - -
CO4 S L L - L - - L - - -
CO5 S - - - L - - L - - -
S- Strong; M-Medium; L-Low
Assessment Pattern
Continuous Assessment Tests End Semester
Bloom’s category Examinations
1 2 3
1 Remember 20 20 10 10
2 Understand 20 20 10 10
3 Apply 60 60 60 60
4 Analyze 0 0 20 20
5 Evaluate 0 0 0 0
6 Create 00 0 0 0

40
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions


Course Outcome 1 (CO1):

1. Calculate the bandwidth required to transmit data at the rate of 4000bps mapped with
16 QAM constellations filtered with RC pulses of roll off factor=0.5.
2. Binary PAM is used to transmit information over an un equalized channel. The noise
free unequalised samples are given as vin (k )  {0.2,0.8,0.2} . Determine the
coefficient of three tap equalizer Which gives an output of veq (k )  {0,1, 0} ;Also find
veq (k ), k  2, 3
3. For data rate of 9600bps data transmission with 4 PAM modulation over a channel
1
with transfer function given by f (W )  , W=2400Hz. Obtain the transmit
2
 f 
1  
W 
filter and receive filter frequency response. Assume the channel is compensated
equally between transmitter and receiver.

Course Outcome 2 (CO2):

1. Consider a two user, synchronous CDMA transmission system, where the


received signal is r (t )  E1 b1 g1 (t )  E 2 b2 g 2 (t )  n(t ), 0  t  T and
b1 , b2  {1,1} , n(t ) is zero mean Gaussian and white with power spectral density
N o / 2 . The demodulator is shown in figure.
i. Determine the correlator outputs r1 and r2 at t  T .
ii. Determine the variances of the noise components n1 and n 2 in r1
and r2
iii. Determine the joint pdf p(r1 , r2 b1b2 )

2. The (3,1) convolutional encoder is shown in figure.1. Assume that four


information bits  x1 x2 x3 x4  , followed by two zero bits, have been encoded
and sent via a binary symmetric channel. The received sequence is
111 111 111 111 111 111 . Find the most likely data sequence using
Viterbi decoding algorithm.

41
TCE - M.E. Degree (Communication Systems)

Course Outcome 3 (CO3):

1. Binary PAM is used to transmit information over an unequalized linear filter channel.
When a  1 is transmitted, the noise free output of the demodulator is
xm  0.3 (m  1)  0.9 (m)  0.3 (m  1) . Design a three tap zero forcing equalizer
1 m  0
so that the output is q m   .
0 m  1
2. Determine the performance of the following types of equalizers
a. Mean square error (MSE) criterion based infinite length Equalizer
b. MSE based finite length equalizer
c. Decision feedback equalizer
3. Consider a discrete time equivalent channel. The information sequence I k is binary
 1and uncorrelated. The additive noise is white and real valued with variance 0.1.
The received sequence is processed by a linear three tap equalizer on the basis of
MSE criterion
a. Determine the optimum coefficients of the equalizer
b. Determine the minimum MSE and output SNR of the three tap equalizer.
c. Suppose the channel is equalized by a DFE having a two tap feedforward
filter and one tap feedback filter, on the basis of MSE criterion, determine the
optimum coefficients and output SNR

Course Outcome 4 (CO4):

1. Consider a discrete time equivalent channel shown in figure1. The information


sequence I k is binary  1 and uncorrelated. The additive noise is white and real
valued with variance 0.1. The received sequence is processed by a linear three tap

equalizer on the basis of MSE criterion


a. Determine the optimum coefficients of the equalizer
b. Determine the minimum MSE and output SNR of the three tap equalizer.
c. Suppose the channel is equalized by a DFE having a two tap feedforward
filter and one tap feedback filter, on the basis of MSE criterion, determine the
optimum coefficients and output SNR
2. Consider the adaptive FIR filter as shown in figure. The system C (z ) is characterized
1
by the system function C ( z )  . Determine the optimum coefficients of the
1  0.9 z 1
adaptive transversal FIR filter ( B( z )  b0  b1 z 1 ) that minimize the mean square
error. The additive noise is white with variance of 0.1.

42
TCE - M.E. Degree (Communication Systems)

3. Determine the coefficients a1 and a2 for the linear predictor shown in figure, given
that the autocorrelation  xx (m) of the input signal is  xx ( m)  b ,0  b  1
m

Course Outcome 5 (CO5):

1. Consider a digital communication system that uses two transmitting antennas and
one receiving antenna. Let s1 and s 2 are the symbols that are to be transmitted. The
 
signal from the first antenna over two signal intervals is s1 ,s 2* and from the second
 
antenna the transmitted signal is s 2 ,s . Let h1 , h2  represent the complex valued
*
1
channel path gains, which is assumed to be zero mean complex Gaussian with unit
variance and statistically independent. h1 , h2  are assumed to be constant over the
two signal intervals and known to the receiver. Let n1 , n2  represent the AWGN
terms and uncorrelated.

a. Show that the transmitted symbols s1 and s 2 can be estimated from the
received signal r1 , r2 and achieve dual diversity reception
b. If the symbols s1 and s 2 are BPSK modulated, determine the probability of
error.
2. Derive the expressions for BER for the following cases
a. BPSK modulation with receive diversity of order L in slow, frequency non
selective channel.
b. BPSK modulation in slow, frequency selective channel.

3. In a fast FHSS, the information is transmitted via FSK, with noncoherent detection.
Suppose that there are N  3 hops/bit, with hard decision decoding of the signal in
each hop. Determine the probability of error for this system in an AWGN channel with
power spectral density of N o / 2 and the total SNR over the three hops is 13dB

Concept Map

43
TCE - M.E. Degree (Communication Systems)

Syllabus
Signal Design for Band limited channels: Characterization of band limited channels,
Design of transmit Pulse shapes, Nyquist Pulse, Raised Cosine Pulse, Partial Response
Signals, Correlative Level Coding, Receiver Structures: Maximum Likelihood Sequence
Detector, Zero Forcing Criterion, Minimum Mean Square Error, Equalizers: Linear
Equalizer, MMSE, Fractionally spaced Equalizer, Decision Feedback Equalizer, Adaptive
Equalizer, Blind Equalizer, Multi carrier Modulation Methods: Orthogonal Frequency
Division Multiplexing (OFDM), Fading Parameters: Coherence Time, Coherence
Bandwidth, Delay Spread, Doppler Spread, Fading Models: Frequency Flat fading,
Frequency Selective fading, Diversity Techniques: Time Diversity, Frequency Diversity,
Space Diversity, Bit Error Rate Analysis: BER Analysis for Frequency flat and Frequency
selective fading channels

Reference Books
1. John G Proakis, Salehi, Massoud, “Digital Communications”,Academic Internet
Publishers,Fifth Edition, 2009.
2. J.R.Barry,E.A Lee and D.G.Messerschmitt, “Digital Communications”, Springer,2004
3. David Tse and Pramod Viswanath, “Fundamentals of Wireless Communications”,
Cambridge University Press, 2005 (First Asian Edition, 2006)
4. Bernard Sklar, “Digital Communications: Fundamentals and Applications”, Prentice Hall,
Second Edition, 2001
5. Dennis Silage, “ Digital Communication Systems using MATLAB and SIMULINK”, Book
Stand Publications, 2009

Course Contents and Lecture Schedule

S. Topic No of lectures
No
1 Signal Design for Band limited channels: Characterization of 2
band limited channels
2 Design of transmit Pulse shapes 2
3 Nyquist Pulse, Raised Cosine Pulse 2

44
TCE - M.E. Degree (Communication Systems)

4 Partial Response Signals 2


5 Correlative Level Coding 2
6 Receiver Structures: Maximum Likelihood Sequence Detector 2
7 Zero Forcing Criterion 2
8 Minimum Mean Square Error 2
9 Equalizers: Linear Equalizer 2
10 MMSE 2
11 Fractionally spaced Equalizer, Decision Feedback Equalizer 2
12 Adaptive Equalizer, Blind Equalizer 5
13 Multi carrier Modulation Methods: Orthogonal Frequency 2
Division Multiplexing (OFDM)
14 Fading Parameters: Coherence Time, Coherence Bandwidth, 2
Delay Spread, Doppler Spread
15 Fading Models: Frequency Flat fading, Frequency Selective 3
fading
16 Diversity Techniques: Time Diversity, Frequency Diversity, 3
Space Diversity
17 Bit Error Rate Analysis: BER Analysis for Frequency flat fading 4
channels
18 BER Analysis for Frequency selective fading channels 4
Total Number of Hours 45

Course Designers:
1. Dr. S.J. Thiruvengadam [email protected]
2. Dr. M.N.Suresh [email protected]

45
TCE - M.E. Degree (Communication Systems)

OPTICAL COMMUNICATION AND Category L T P Credit


14CN220
NETWORKING PC 3 1 - 4

Preamble
This course presents the state-of-the-art in the field of Optical communication networks
which encompasses traditional networks operating on optical fiber as well as the next-
generation networks such as wavelength division multiplexed and optical time division
multiplexed networks. The course will enable the students to learn about key photonic
devices that form the backbone of the optical communication network. The course will
provide students with a fundamental understanding of optical communication network
design, control, and management. Students will do design of analog and digital optical fiber
link and WDM network design.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1 Understand the behaviour of optical communication network Understand


components including optical transmitter, fibers, optical
receiver, optical amplifier, add drop multiplexer and optical
cross connects.
CO2 Analyze the performance of analog and digital optical communication Analyze
system
CO3 Solve the problems of wavelength assignment and routing in WDM Apply
networks
CO4 Perform protection in SONET/SDH network and optical layer Create
protection
CO5 Architect a optical communication network to meet a given set of Create
specification

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S S S S L S M L L - -
CO2 S S S L L L M L L - -
CO3 M M S L L L L L L - -
CO4 S S S S L L L L L - -
CO5 M M M L L L L L L - -
S- Strong; M-Medium; L-Low

Assessment Pattern
Continuous Assessment Tests End Semester
Bloom’s category Examinations
1 2 3
1 Remember 20 20 0 0
2 Understand 20 20 20 20
3 Apply 40 40 50 50
4 Analyze 20 20 0 0

46
TCE - M.E. Degree (Communication Systems)

5 Evaluate 0 0 0 0
6 Create 0 00 30 30
Course Level Assessment Questions
Course Outcome 1 (CO1) :
1. List the advantages of optical fiber communication?
2. Mention the reason for attenuation of light signal more near the wavelength of
1400nm?
3. Distinguish between LED and LASER.
4. Define quantum efficiency.
5. Define RWA problem.
6. How do you eliminate deadlock in packet switching network?
7. Distinguish first generation and second generation optical networks.
8. How does dispersion limit the performance of a fiber optic system?

Course Outcome 2 (CO2):

1. A 1.3μm light wave system uses a 50-km fiber link and requires at least 0.3 mW at
the receiver. The fiber loss is 0.5 dB/km. Fiber is spliced every 5 km and has two
connectors of 1-dB at both ends. Splice loss is only 0.2 dB each. Determine the
minimum optical power that must be launched into the fiber.
2. Consider a piece of step-index optical fiber with a refractive index at the fiber core of
1.47 and a fractional refractive index change for the core and the cladding of 0.02.
Determine the maximum fiber core diameter allowed if this fiber is designed to be
single-mode over the wavelength range from 1310nm to 1550nm?
3. A 0.88 μm optical communication system transmits data over a 10 km single mode
fibre by using 10 ns pulses. The dispersion parameter of the fibre is D = 80 ps/(km-
nm). The LED has a spectral width Δλ of 51 nm. Determine the maximum bit rate
possible.

Course Outcome 3 (CO3):

1. An optical transmission system transmits non-return-to-zero (NRZ) data at 2.488


Gbit/s on a single-mode fiber. The optical transmitter operates at 1550 nm and is
carefully controlled that negligible optical power is transmitted at the “0” bits. The “0”
bits and the “1” bits are assumed to be equally-probable. A PIN photo-detector is used
to detect the optical signal. The quantum efficiency of the photo-detector is 0.8.
Assuming the receiver circuit has an equivalent resistance of 1kΩ, the receiver
bandwidth matches with the signal bandwidth, negligible dark and leakage current at
the photo-detector, the operating temperature is 27oC (Note that Bandwidth =1/(2×Bit
Period) for NRZ)
a. Compute the signal-to-noise ratio (SNR) with a received power of -20 dBm. (i) shot
noise (ii) thermal-noise dominated. Which one, (i) or (ii), is a more practical and
reasonable assumption? Explain.
b. Find the minimum average optical power received at the detector in order to
achieve an SNR by 20 dB by assuming the receiver is shot-noise dominated or
thermal-noise dominated. Which one, (i) or (ii), is a more practical and reasonable
assumption? Explain.

2. Analyze the attenuation -limited transmission distance of the following two systems
operating at 100 Mb/s:
System one operating at 850 nm
(a) GaAlAs laser diode: fiber coupled power 0 dBm
(b) Silicon avalanche photodiode: -50 dBm sensitivity
(c) Graded index fiber: 3.5 dB/km attenuation at 850 nm

47
TCE - M.E. Degree (Communication Systems)

(d) 1 dB/connector connector loss


System two operating at 1300 nm
(a) InGaAsP LED: fiber coupled power -13 dBm
(b) InGaAs PIN photodiode: -38 dBm sensitivity
(c) Graded index fiber: 1.5 dB/km attenuation at 1300 nm
(d) 1 dB/connector connector loss
Allow 6 dB system margin in each case

Course Outcome 4 (CO4):


1. A SONET link operating at ~40Gb/s carries as many as possible ATM streams at
149.760 Mb/s. How many voice channels multiplexed in DS-1 format can be
accommodated in addition to the ATM streams? If 1 ATM is dropped, how many
additional voice channels can be added?
2. Consider the network topology shown below. Each undirected link represents two
fibers, one for the transmission in each direction. There are 4 end nodes and 2 hub
nodes.

Consider the following s-d pairs each of which has 1 wavelength unit of traffic: 1-3, 1-4, 2-3,
2-4, 3-1, 3-2, 4-1, 4-2, 4-3. Specify the wavelength assignment (WA) that uses the minimum
number of wavelengths.

3. Consider the switching node B in the network shown below. Each directed link is a
single fiber. Assume that there are 3 s-d pairs: A-C, A-D, and E-C. Each s-d pair
sends and receives traffic at 4 Gbps. In addition, assume that one wavelength
channel can carry up to 10 Gbps.

Assume the use of electronic switching architecture at node B. Detemine the amount
of traffic (in Gbps) that must be processed electronically at node B.

4. Consider a 4-node transparent optical network shown below. Assume that adjacent
nodes are connected by two fibers, one for the transmission in each direction. In
addition, assume that there are 2 wavelengths in each fiber.

Assume that calls (i.e., lightpath demands) arrive in the following sequence

48
TCE - M.E. Degree (Communication Systems)

2-1, 2-4, 4-3, 1-3, 2-4, … where each value pair is the s-d pair for the call. Suppose that we
use fixed routing with the paths 1-4-3, 2-1, 2-1-4, and 4-3 for s-d pairs 1-3, 2-1, 2-4, and 4-3
respectively. Evaluate the performance of following on-line WA schemes to each call (i.e.,
put on λ1, put on λ2 , or blocked)
(a) First-fit WA: Assign the first possible wavelength starting from the smallest wavelength
index.
(b) Most-used WA: Assign the wavelength with the highest utilization (before the new call).
The utilization of wavelength λi is the number of fibers on which wavelength λi is used.

Course Outcome 5 (CO5):

1. Consider the network shown in Figure, with three lightpaths to be supported. Each
lightpath uses one unit of capacity on each link that it traverses. How to protect all the
lightpaths in case of failure.

2. Compare the performance of UPSRs and BLSR/2s in cases where all the traffic is
between a hub node and the other nodes. Assume the same ring speed in both
cases. Is a BLSR/2 any more efficient than a UPSR in traffic-carrying capacity in this
scenario?

Course Outcome 6 (CO6):


1. An engineer has the following components available:
 GaAlAs laser diode, operating at 850 nm, fiber coupled power 0 dBm
 Ten sections of cable each of which is 500 m long, has 4 dB/k m attenuation,
has connectors at both ends
 2 dB/connector connector loss
 A PIN photodiode receiver, -45 dBm sensitivity
 An avalanche photodiode receiver, -56 dBm sensitivity
Design a 5-km link operating at 20 Mb/s using suitable receiver, if 6-dB operating margin
is required.
2. A 2.4 Gbit/s optical transmission system is to operate at a wavelength of 1550 nm
over a distance of 72 km. Two different transmitter types are available, type 1 and 2,
with specifications shown in Table 1:
Transmitter Minimum output Worst case spectral
type power width
A +2 dBm 0.6 nm
B +1.2 dBm 0.5 nm

A common receiver is used, which has a worst-case sensitivity of -24 dBm. Single
mode fibre is to be used with a dispersion coefficient of 3.5 ps/km.nm and an
attenuation 0.23 dB/km. The average distance between fusion splices is 800 m. The
worst case connector loss is 0.36 dB, while the worst case fusion splice loss is 0.056

49
TCE - M.E. Degree (Communication Systems)

dB. Two connectors are used per system. Determine the dispersion penalty for each
transmitter type. Design a system which will offer the highest power margin.

Concept Map

Syllabus

Overview: Motivation optical communication and network - application in Telecom


Networks, CATV Networks, Under Sea Network Transmission Characteristics: light
propagation in fiber, loss and bandwidth, dispersion, non linear effect Optical Transmitter:
Light Emitting Diode – Laser, Optical Receiver: photo detector, Avalanche photodiode
Optical Amplifier: EDFA,SOA Enabling Technologies: Modulation – Demodulation
Introduction to First generation Optical Network: SONET/SDH –FDDI – protection
Second generation optical network: layered architecture – protection Broadcast and
Select Network: - WDM networks: Wavelength assignment and routing-WDM network
design, Access Network: HFC- FTTC - Photonic Packet switching network: Interleaving
- Synchronization, Header Processing - buffering Fiber Optic Link Design: Point to point –
mesh network

Reference Books
1. Gerd Kaiser, “Optical fiber communications”, 4th ed. McGraw Hill Int., 2008.
2. Rajiv Ramaswami Kumar N. Sivarajan, “Optical Networks”, Harcourt Asia Limited, 2nd ed.
2004.
3. A.K.Ghatak and K.Thiagarajan, “Introduction to Fiber Optics”, Cambridge university press,
1998.
4. Biswanath Mukherjee, “ Optical WDM Network”, Springer, 2006
5. J.Gower, “Optical communication systems”, Prentice Hall of India, 2001.
6. John Senior, “Optical fiber communications-principles and practices”, Prentice Hall of India,
1994.
7. Uyless Black, “Optical Networks” Pearson Education, 2002.
8. David Greenfield, “The Essential Guide to Optical Networks” Prentice Hall PTR 2001.

Course Contents and Lecture Schedule

50
TCE - M.E. Degree (Communication Systems)

S. No Topics No. of Lectures

1 Motivation for optical communication and network 1


1.1 Telecom Networks
1.2 CATV Networks 1
1.3 Under Sea Network
2 Transmission Characteristics
2.1 Light Propagation in fiber 1
2.2 Loss and bandwidth 1
2.3 Dispersion 1
2.4 Non linear effect 1
3.1 Optical Transmitter
3.1.1 Light Emitting Diode - structure, quantum efficiency and 2
power
3.1.2 Laser – laser diode mode and threshold condition, rate 2
equation, quantum efficiency and resonant frequency
3.2 Optical Receivers
3.2.1 p-i-n photo detector 1
3.2.2 Avalanche photodiode 1
3.3 Optical Amplifiers EDFA – SOA 1
4.1 Modulation
4.1.1 OOK modulation 1
4.1.2 Subcarrier modulation 1
4.2 Demodulation
4.2.1 Direct Detection 1
4.2.2 Coherent Detection 2
5 First Generation Optical Networks
5.1 SONET/SDH – multiplexing, physical layer, infra structure 2
5.2 FDDI 1
5.3 Protection in SONET/SDH 1
6 Second Generation Optical Networks
6.1 Layered architecture 1
6.2 Optical layer protection 2
6.3 WDM Networks
4.1.1 WDM network components 2
4.1.2 Wavelength Assignment and routing 2
6.4 Broadcast and Select Network
6.4.1 Network topology 1
6.4.2 MAC protocol 2
6.5 Access network
6.5.1 HFC 1
4.2.2 FTTC 2

51
TCE - M.E. Degree (Communication Systems)

6.6 Photonic packet switching network


6.6.1 Interleaving 2
6.6.2 Synchronization- Header Processing 2
6.6.3 Buffering 2
7 Fiber Optic Link Design
7.1 Point to point link 2
7.2 Mesh network design 2
Total Number of Hours 45

Course Designers:

1. Dr. S.Ponmalar [email protected]

52
TCE - M.E. Degree (Communication Systems)

ANTENNA TECHNOLOGIES AND Category L T P Credit


14CN230
DESIGN PC 3 - - 3

Preamble
Various antenna systems have been emerged recently for defense and commercial wireless
applications. In order to meet the industrial design requirements, researchers have given
much attention recently to the design constraints such as Size, Bandwidth, Power
consumption, Power radiated and gain of the antenna. Hence various design and
performance enhancement techniques have been introduced. Accurate characterization of
planar structures have become a necessity as it is no longer economical or even feasible, to
tune the planar structures once they are fabricated. This is facilitated through
Electromagnetic Simulators such as ADS Momentum, CST Microwave Studio etc.One of the
main competencies that a present day antenna engineer has to posses is the capability to
design and develop antennas for various wireless applications that have good bandwidth,
gain and radiation characteristics.
This subject is essential to understand the design methodology considering the constraints
such as miniaturization, wide bandwidth and polarization. This course also presents various
types of antenna and antenna array suitable for wireless systems such as Mobile handsets,
base station, and satellite communication, the issues in respect of their design and
development.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Explain the behaviour of antenna in terms of its parameters Remember


CO2. Understand the design issues in wireless device including handset, Understand
wearable devices and UWB communication Select an antenna for
above mentioned wireless applications
CO3. Design and analyze antennas to meet the given specification using Create
miniaturisation and bandwidth enhancement techniques
CO4. Develop prototype of designed antenna Create
CO5. Measure the parameters and radiation pattern of an antenna Analyze

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - M - - - - - - - -
CO2 S - M - - - - M - - -
CO3 S L M - S - M L - - -
CO4 M - M - - - M L - - -
CO5 M - M - - - M L - M -
S- Strong; M-Medium; L-Low

53
TCE - M.E. Degree (Communication Systems)

Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 40 20 20 20
2 Understand 40 20 20 20
3 Apply 20 20 20 20
4 Analyze 0 0 0 0
5 Evaluate 0 20 20 20
6 Create 0 20 30 30

Course Level Assessment Questions


Course Outcome 1 (CO1)
1. Define radiation resistance of an antenna.
2. What is meant by polarization of antenna?
3. Draw radiation pattern of a half wave dipole.
4. What is meant by pattern multiplication?
5. List some of the antennas used in handset.
6. Explain the radiation mechanism of PIFA antenna and their parameters.

Course Outcome 2 (CO2)


1. Why microstrip antennas are preferred for space applications?
2. Why monopole antennas are preferred for wireless communication?
3. What are surface waves in printed antennas?
4. What is the significance of substrate parameters?
5. How dual polarization can be achieved in microstrip antennas?
6. What are the effects of loading in an antenna?

Course Outcome 3(CO3)


1. Propose simulation steps to facilitate the design of patch antenna on a multilayer
substrate having effective dielectric constant of 5.5.
2. Derive the field components of a square microstrip patch antenna.
3. Evaluate the performance of PC card antenna and INF antenna in a laptop prototype.
4. Explain how dual polarization is achieved with microstrip antenna.
5. Prepare a model chart for developing antenna for wearable devices considering
different RF constraints.
6. Explain in detail how conventional planar antenna can be modified to provide wide
bandwidth

Course Outcome 4(CO4)


1. A two element end-fire array in free space consists of 2 vertical side by side λ/2
elements with equal out of phase currents. At what angles in the horizontal plane is
the field intensity is maximum: (a) when the spacing is λ/2?
2. What spacing of two in phase side by side λ/2 antenna produces maximum gain?
What is the gain in dBi?
3. Given a set of wire antennas having same resonant frequency 2.5GHz, find the
suitable candidate for TV reception?
4. Two X band rectangular horns, with aperture dimensions of 5.5cm and 7.5cm and
each with a gain of 16.3dB at 10GHz are used as transmitting and receiving
antennas. If the input power is 200Mw, and the antennas are polarization matched,

54
TCE - M.E. Degree (Communication Systems)

evaluate the amount of power received at a distance of 50m. Calculate the amount of
power reduction if the propagation is disturbed to give VSWR of 1.1.
5. Compare the performance of two element in phase fed linear array of microstrip
patches with the spacing d=λ/2 and d=λ/4 and thereby obtain the array condition for
getting maximum radiation.
6. Given the specification, Frequency= 6GHz, Gain =20Db, Find the number of
elements and spacing in the smart antenna array. Evaluate the resultant radiation for
the phase shifts: (a) β=0◦ (b) β=-90◦ (c) β=+90◦ the and propose the phase condition
for making the array as broadside array.

Course Outcome 5 (CO5)

1. Design a planar inverted F antenna operating in Cellular GSM lower band.


2. Suggest a suitable planar antenna system for the given specification:
Center Frequency-5GHz, Dielectric constant–3.38, Thickness-1.52mm
VSWR - 2:1, Bandwidth > 500MHz
3. Create a design methodology to generate dual band Minkowski Fractal antenna
covering 2.4GHz and 5.8GHz.
4. Design a mini wireless antenna for Laptop computer applications.
5. Design a 4 element smart antenna operating at 2.4GHz application.
6. Design a dual polarized low cost antenna for cellular base station application to meet
the specification: Center Frequency-5GHz, Thickness-1.6mm, VSWR-2:1,

Concept Map

Syllabus
Review of Antenna radiation mechanism & Parameters: Antenna parameters - Radiation
mechanism, Radiation pattern, power density, radiation intensity, directivity, Gain,
bandwidth, polarization, radiation efficiency, effective aperture, Return loss, Wireless
applications. Single antenna element: - Monopole, Dipole, Patch, Slot, Field components

55
TCE - M.E. Degree (Communication Systems)

derivation, Radiation characteristics. Microstrip Patch antennas, Printed dipole, slot, PIFA,
Radiation Mechanisms, Feed mechanism, radiation field, Surface wave, Substrate selection
and parameter, Microwave Antennas: Parabolic reflector, Horn antenna, High gain high
power Dish antenna, Standard gain antenna, Feed mechanism, Multiple beam formation,
Practical Design- High gain antenna for satellite applications, Simulations, Radiation pattern
and antenna parameters, Ground plane effects. Antenna Array: Active Array-Two-element
array concept - broadside, end-fire, Passive array-Yagi Uda antenna array, Frequency
Independent antenna, Microstrip array, phased array. Smart antenna array- Benefits of
Smart antennas, Types of Smart antenna, Fixed & switched beam antenna system, Analog
& Digital Beamforming, Adaptive array system, Multiple antenna design, Combining
techniques, Diversity. Smart antenna for Mobile stations, Smart antenna for space
applications. Antenna Performance Enhancement: Miniaturization- Shorting and loading of
antenna, Use of Slots, Meandering, Fractal techniques, Bandwidth Improvement- Multilayer
substrate antenna, stacked resonator, Dual & Circular Polarization: Dual feed, Diagonal
feed, Edge shaping of MSA, Circularly Polarized MSAs, MSA with Modified Corners. Multi
beam formation-Use of Buttler matrix, Ground plane effects, Numerical Simulation/Design
customization using EM Simulators using EM Simulators: Method of Moments (MoM),
Finite Difference Time Domain method (FDTD) Simulation of Compact Microstrip antenna
using ADS Momentum software and CST Microwave studio. EM simulation with ADS
Momentum and CST Microwave studio, Antenna Prototype development of antenna for
wireless application.
Reference Books
1. John D.Kraus, Ronald J.Marhefka “Antennas for all Applications” Fourth Edition, Tata
McGraw- Hill, 2006.
2. Ahmed El-Zooghby, “Smart Antenna Engineering” Artech House, 2008
3. Grishkumar and K.P.Ray, “Broadband microstrip antennas” Artech House, 2003
4. Kin Lu Wong, “Planar Antennas for Wireless communication”, Wiley Interscience,
2003.
5. Zhi Ning Chen, Michael Yan Wah Chia, “ Antennas for Portable Devices” John Wiley
& Sons Ltd, 2006.
6. J.C.Liberti, JR and Theodore Rappaport, “Smart Antennas for Wireless
communication” Prentice Hall of India, 1999.
Course Contents and Lecture Schedule

No. Topic No. of Lectures


1 Review of Antenna radiation mechanism & Parameters
1.1 Antenna fundamentals, Radiation mechanism 1
1.2 Antenna parameters- Radiation pattern, power density, radiation 2
intensity,
1.3 directivity, Gain, polarization, radiation efficiency, effective 2
aperture, Applications.
2 Single antenna element:
2.1 Types of antennas, Monopole, Dipole, Radiation characteristics, 2
radiation field, Feed mechanism
2.2 Microstrip Patch, Slot, Printed slot, Field components derivation, 1
Surface wave, Substrate selection and parameter, Feed
mechanism
2.3 Planar Inverted F Antenna (PIFA) 1
2.4 Microwave Antennas: Parabolic reflector, Horn antenna, High 3
gain high power Dish antenna, Standard gain antenna, Multiple
beam formation,
2.5 Practical Design- High gain antenna for satellite applications, 1

56
TCE - M.E. Degree (Communication Systems)

Simulations, Radiation pattern and antenna parameters,


2.6 phased array concept. 2
3 Antenna Array
3.1 Active Array-Two-element array concept - broadside, end-fire, 2
3.2 Passive array-Yagi Uda antenna array, 1
3.3 Frequency Independent antenna, 1
3.4 Microstrip array, phased array 1
3.5 Smart antenna array- Benefits of Smart antennas, Types of 2
Smart antenna, Fixed & switched beam antenna system,
3.6 Analog & Digital Beamforming, Adaptive array system, 2
3.7 Multiple antenna design, Combining techniques, Diversity. 2
3.8 Smart antenna for Mobile stations, Smart antenna for space 2
applications.
4 Antenna Performance Enhancement
4.1 Miniaturization- Shorting and loading of antenna 1
4.2 Use of Slots, Meandering 1
4.3 Fractal techniques 1
4.4 Bandwidth Improvement- Multilayer substrate antenna, stacked 1
resonator
4.5 Dual & Circular Polarization: Dual feed, Diagonal feed 1
4.6 Edge shaping of MSA, Circularly Polarized MSAs, MSA with 1
Modified Corners
4.7 Multi beam formation-Use of Buttler matrix 1
4.8 Ground plane effects 1
5 Design customization using EM Simulators
5.1 Method of Moments (MoM) 1
5.2 Finite Difference Time Domain method (FDTD) 1
5.3 Understanding of ADS Momentum software 2
5.4 Understanding of CST Microwave studio. 2
5.5 Simulation of Microstrip antenna using ADS Momentum software 3
and CST Microwave studio.
Total Number of Hours 45

Course Designers:
1. Dr. B. Manimegalai [email protected]
2. Dr. V.Abhaikumar [email protected]

57
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CN270 RF SYSTEMS LABORATORY
PC 0 0 1 1

Preamble
The unprecedented success of wireless communications created an unexpected demand for
RF/Microwave communications engineers. This program aims to provide students with the
technological skills needed in the design and engineering of modern Microwave systems and
subsystems. This course focuses on the design and simulation of passive and active devices
for microwave applications.

Prerequisite
14CN140 Microwave Circuits and Systems
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Generate specifications for RF subsystems Understand


CO2. Design and simulate passive RF subsystems Apply
CO3. Design active RF subsystems Apply
CO4. Simulate active RF subsystems Apply
CO5. Fabricate and test prototype of RF subsystems. Create
Mapping with Programme Outcomes
COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - M - - - - - - - -
CO2 S - M - - - - M - - -
CO3 S L M - S - M L - - -
CO4 M - M - - - M L - - -
CO5 M - M - - - M L - M -
S- Strong; M-Medium; L-Low

List of Experiments

1. Simulation of Planar Transmission Lines and matching network


2. Simulation of Microwave Filters
3. Couplers and Power dividers
4. SPST and SPDT switches
5. Patch antenna
6. Low noise amplifier
7. Simulation of RF Transceiver
8. Test and measurement of RF transceiver at 2.4 GHz.

Course Designers:
1. Dr.S.Kanthamani [email protected]
2. Mr.K.Vasudevan [email protected]

58
TCE - M.E. Degree (Communication Systems)

MODELING AND SIMULATION OF Category L T P Credit


14CN310
COMMUNICATION SYSTEMS PC 3 1 0 4

Preamble
The complexity of communication and signal processing systems has grown considerably to
meet the requirements of the user‟s demands. The performance of the communication
system can be evaluated using formula based calculations, waveform level simulation or
through hardware prototyping and measurements. Except for some idealized and
oversimplified cases, it is extremely difficult to evaluate the performance of the
communication systems. Hardware prototypes are in general costly, time-consuming and
non-flexible. In the simulation based approaches, systems can be modeled with almost any
level of detail desired. Further, the mathematical, measured characteristics of devices and
actual signals can be combined into analysis and design of communication systems. This
aim of this course is to present the major aspects of modelling and simulation of
communication systems.

Prerequisite
Nil
Course Outcomes

On the successful completion of the course, students will be able to

CO1. Present the basic concepts and properties of random variables, Understand
random processes and models and compute the response of the
system that are used for simulating communication systems.
CO2. Model fading and multipath channels that are used in the Apply
performance analysis of GSM, UWB, Wi-Fi, Wi-Max and LTE
communication systems and generate sampled values of random
process that are used to model signals, noise, interference and
time varying channels in communication systems.
CO3. Estimate the parameters such as average level, probability density Apply
function, power spectral density, delay and phase of the waveform.
CO4. Estimate the bit error rate using montocarle simulation and simplify Apply
and validate the simulation procedures using bounds and
approximations.
CO5. Evaluate the performance of the communication system in terms of Apply
performance parameters such as outage probability, bit error rate
for a given scenario using modeling and simulation.

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - - - - - -
CO2 S - - - S - - M - - -
CO3 S - - - L - - - - - -
CO4 M - L L S - - L - - -
CO5 L - L L S - - L - - -
S- Strong; M-Medium; L-Low

59
TCE - M.E. Degree (Communication Systems)

Assessment Pattern

Blooms Category Continuous Assessment Tests Terminal


Examination
1 2 3
Remember 20 20 10 10
Understand 20 20 10 10
Apply 60 60 80 80
Analyze 0 0 0 0
Evaluate 0 0 0 0
Create 0 0 0 0

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. Draw the block diagram of communication system with hierarchical modeling
2. List the sources of error in simulation
3. List the properties of multivariate Gaussian distribution
4. State central limit theorem
5. Give the definition of Monte Carlo simulation
6. Define cyclo-stationary process

Course Outcome 2 (CO2):


1. Explain the method of generating correlated Gaussian sequences
2. Distinguish between small scale fading and large scale fading
3. The data {x(0), x(1), x( N  1) are observed, where x(n)‟s are independent and
identically distributed as zero mean Gaussian random variables with variance  2 .
1 N 1 2
^
The variance is estimated as  2   x (n) . Is this estimator unbiased?
N n 0
4. Compare Chebyshev inequality and Chernoff bound in computing the tail probability.
5. What is impulsive noise?
6. Distinguish between frequency flat and frequency selective fading channels

Course Outcome 3 (CO3):


1. Write a program to generate samples from a Gaussian distribution using the Box-
Muller method
2. Determine the probability density function of the following transformations
a. y   log( x) , where x is uniform in [0,1]
b. y  x 2 , where x is Gaussian (0,1)
3. Write a program to generate binary PN sequences for register lengths ranging from 6
to 16
4. The data x(n)  r n  w( n) for n  0,1,....N  1 are observed, where w(n) is WGN with
variance  2 and r is to be estimated. Find the CRLB for r. Does an estimator exists
and if so find its variance?
5. The data x(n)  A  w(n) for n  0,1,....N  1 are observed, where w(n) is WGN with
1 N 1
variance  2 . Both A and  2 are unknown. Are the estimators Aˆ   x ( n)
N  1 n 0
N 1

  x ( n)  A
1
and  2 
2
unbiased?
N n 0

60
TCE - M.E. Degree (Communication Systems)

6. Generate the eye diagram for a binary sequence when the received pulse
 0 t0

corresponding to +1 is given by g (t )   1  et / T 0t T

1  e  e
t / T ( t T ) / T
t T
taking into account ISI generated over five pulse intervals.

Course Outcome 4 (CO4):


1. Given a digital satellite communication system shown in figure, what should be the
interleaver depth to approach the coded performance of an independent error
channel? In this system, the main factor which produces correlation among errors is
phase noise, generated both by thermal noise and oscillator frequency instability.

2. Simulate the IS-95 CDMA downlink system and analyze the BER performance.
3. Simulate the Physical downlink control format indicator channel in LTE downlink
system assuming that the bandwidth is 10MHz. Analyze the error performance of it
in the SISO and MISO transmit diversity schemes.
4. Simulate the Physical downlink hybrid ARQ channel (PHICH) in LTE downlink
system assuming that the bandwidth is 10MHz and number of users is 8. Analyze the
error performance of it in the SISO and MISO transmit diversity schemes.
5. Simulate the Physical uplink shared channel in LTE uplink system assuming that the
bandwidth is 10MHz. Analyze the error performance of it.
6. Simulate a 90Mb/s 64-QAM digital radio system in a multipath fading environment in
the 4GHz frequency band. State the assumptions clearly. Evaluate the BER and
outage probability performance of the system

Course Outcome 5 (CO5):


1. Determine the probability of error of a binary PSK system in the presence of additive
N0
white Gaussian noise with zero mean and variance . Develop a Monte Carlo
2
simulation procedure to compute probability of error of PSK system.

61
TCE - M.E. Degree (Communication Systems)

2. Determine the probability of error of a binary FSK system in the presence of additive
N0
white Gaussian noise with zero mean and variance . Develop a Monte Carlo
2
simulation procedure to compute probability of error of FSK system.
3. Develop a simulation model to evaluate the performance of a 40Mb/s 16 QAM line of
sight digital radio system in a multipath fading environment in the 2.4 GHZ band. The
system outage probability is to be below 10-3. State the assumptions clearly.

Concept Map

Syllabus

Introduction: Basic Concepts of Modeling of Communication Systems, Analytic


Fundamentals: Signals and Systems; Sampling and interpolation, Fourier Analysis of
Discrete Time Systems, Random Variables and Random Process for Simulation;
Transformation of Random Variables, Bounds & Approximations, Random Process Models
and Transformation of Random Process Models, Sampled Value of the input waveform:
Random Number Generation: Uniform random numbers, random numbers from an arbitrary
Probability Density Function, Gaussian Random Variables, Independent Random
sequences, Correlated Random Sequences, Modeling of Fading and Multipath Channels:
Structural Models for Multipath Fading Channel; Diffuse Multipath Channel Model, Statistical
Tap-Gain Models, Modeling of Transmitter Subsystems: Line Coding, Channel Coding,
Digital Quadrature Modulation, Modeling of Receiver Subsystems: Demodulation and
Detection, Filtering and Synchronization, Estimation of Parameters of Waveform:
Estimating the mean, mean square, PDF, power spectral density, Delay and Phase of a
waveform, Estimation of Performance of System: Signal to Noise Ratio (SNR), Outage
probability, Bit Error Rate (BER).

Reference Books

1. M.C.Jeruchim, P.Balaban and K.Sam Shanmugan, “Simulation of Communication


Systems: Modeling, Methodology and Techniques”, Second Edition, Kluwer Academic
Publishers, 2000.
2. Dennis Silage, “Digital Communication Systems using MATLAB and SIMULINK”, Book
Stand Publications, 2009
3. John G Proakis, Salehi, Massoud, “Digital Communications”, Academic Internet
Publishers, Fifth Edition, 2009.

62
TCE - M.E. Degree (Communication Systems)

Course Contents and Lecture Schedule

Module Topic No. of


No. lectures
On Introduction: Basic Concepts of Modeling of Communication 2
Systems
1 Analytic Fundamentals:
1.1 Signals and Systems in Simulation
1.1.1 Sampling and interpolation 2
1.1.2 Fourier Analysis of Discrete Time Systems 2
1.2 Random Variables and Random Process for Simulation
1.2.1 Transformation of Random Variables 2
1.2.2 Bounds and Approximations 2
1.2.3 Random Process Models 2
1.2.4 Transformation of Random Process Models 2
2 Sampled Value of the input waveform
2.1 Random Number Generation: Uniform random numbers, 2
random numbers from an arbitrary Probability Density
Function, Gaussian Random Variables,
2.2 Independent Random sequences, 2
2.3 Correlated Random Sequences 2
3 Modeling of Fading and Multipath Channels:
3.1 Structural Models for Multipath Fading Channel 1
3.1.1 Diffuse Multipath Channel Model 1
3.1.2 Statistical Tap-Gain Models 2
4 Modeling of Transmitter Subsystems:
4.1 Line Coding 2
4.2 Channel Coding 2
4.3 Digital Quadrature Modulation 2
5 Modeling of Receiver Subsystems:
5.1 Demodulation and Detection 2
5.2 Filtering 2
5.3 Synchronization 2
6 Estimation of Parameters of Waveform:
6.1 Mean, mean square 1
6.2 Probability Density Function 1
6.3 power spectral density 2
6.4 Delay and Phase of a waveform 1
7 Estimation of Performance of System:
7.1 Signal to Noise Ratio (SNR) 1
7.2 Outage probability 1
7.3 Bit Error Rate (BER) 2

Course Designers:

1. Dr.S.J. Thiruvengadam [email protected]

63
TCE - M.E. Degree (Communication Systems)

RADIO FREQUENCY INTEGRATED Category L T P Credit


14CNPA0
CIRCUITS PE 3 1 - 4

Preamble
The rapid expansion of untethered (wireless) communications services - paging, RF
identification (RFID), analog and digital cellular telephony, Personal Communications
Services (PCS), etc. has led to an explosion in the development of Integrated circuit (IC)
implementation of RF circuits for wireless communication applications. Students
concentrating in wireless communications, microelectronics can benefit from such a course.
The growing regional communications and electronics industry would also benefit from a RF
integrated circuit curriculum. The course will focus on the Transceiver architectures for
current wireless communications standards, active/passive device technologies for RFIC
implementations, low noise amplifiers, mixers, frequency sources, power amplifiers and
RFIC packaging. Case studies of modern RFIC chip sets for current wireless
communications standards are examined. The course involves circuit design at the IC level,
modern RF/microwave CAD software will be used in conjunction with the course.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Understand the transceiver architectures relevant to current wireless Remember


communications standards and their relative advantages and
disadvantages
CO2. Discuss passive and active device technologies relevant to RFICs Understand
CO3. Calculate noise, linearity and dynamic range performance metrics Apply
for RF devices and circuits
CO4. Design IC implementations of RF blocks (such as LNA, mixers and Create
oscillators) based on foundry models and design rules to meet for a
wireless communication systems
CO5. Utilization of RF/microwave CAD software Understand

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - M - - - - - - - -
CO2 M - M - - - - L - - -
CO3 S L M - - - - - - - -
CO4 M - M - - - - - - - -
CO5 M - M - S - M L - - -
S- Strong; M-Medium; L-Low

64
TCE - M.E. Degree (Communication Systems)

Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 20 20 10 10
2 Understand 20 20 10 10
3 Apply 40 40 60 60
4 Analyze 0 0 0 0
5 Evaluate 0 0 0 0
6 Create 20 20 20 20

Course Level Assessment Questions

Course Outcome 1 (CO1)


1. Differentiate low frequency analog design and radio frequency integrated circuit
design.
2. Convert 2.5nW power into dBm.
3. Define noise figure
4. Correlate third order intersects point and 1dB compression point.
5. What is metal migration?
6. Define skin depth.

Course Outcome 2 (CO2)

1. Calculate the inductance per unit length for traces with a h/w of 0.5, 1, and 2.
2. Why packaging is essential?
3. Differentiate various packaging techniques.
4. Mention few guidelines for designing bipolar transistor?
5. Compare cadence software with other high frequency simulation tools.
6. What is meant by blocking?

Course Outcome 3 (CO3)

7. A rectangular aluminum line has a width of 20 m , a thickness of 3 m , and a length


of 100 m . Compute the resistance of the line at dc and at 5 GHz assuming that all
the current flows in an area one skin depth from the surface. Assume that aluminum
has a resistively of 3  cm.
8. Calculate bottom plate capacitance and fringing capacitance for a 1 poly, 2 metal
processes with distance to substrate and conductor thickness as given in the first two
rows of Table. Calculate for metal widths of 1 m and 50 m .
Poly Metal 1 Metal 2
Height above substrate h ( m 0.4 1.0 2.5
)
Conductor thickness t ( m ) 0.4 0.4 0.5

9. Given a square inductor with the dimensions shown in figure. Determine a model for
the structure including all model values. The inductor is made out of 3- m -thick
aluminum metal. The inductor is suspended over 5 m of oxide above a substrate.

65
TCE - M.E. Degree (Communication Systems)

The underpass is 1- m aluminum and is 3 m above the substrate. Assume the vias
are lossless.

Inductor with dimensions


Course Outcome 4 (CO4)
1. From the data in table for a typical 50-GHz bipolar process, calculate z o , f T and
f max for the 15x transistor. Use this to verify some of the approximations made in the
above derivation for f max .
Example Transistors
Transistor Size
Parameter 1x 4x 15x
I (mA) 0.55 2.4 7.9
optfT

C (fF) 50 200 700


C  (fF) 2.72 6.96 23.2
rb () 65 20.8 5.0

2. Derive the noise figure of the components connected in cascaded sections.


3. Consider a non-linear circuit with 7 and 8 MHz tones applied at the input. Determine
all output frequency components, assuming distortion components up to the third
order.

Course Outcome 5 (CO5)


1. Design a low-noise amplifier using spectre RF with Typical LNA Characteristics in
Heterodyne Systems as NF=2 dB, IIP3= -10 dBm, Gain =15 dB, Input and Output
Impedance =50ohms, Input and Output Return Loss= -15 dB, Reverse Isolation= 20
dB. Define Transducer Power Gain (GT), Operating Power Gain (GP),and Power
Supply Rejection Ratio (PSRR). Draw the test bench setup circuit for LNA, what is
your guess about the PSRR of this LNA?
2. Design a transmit mixer using spectra RF with IF is 40MHz and your LO is 5.4GHz.
Draw the setup to obtain the PAC and Pnoise analysis.

66
TCE - M.E. Degree (Communication Systems)

Concept Map

Syllabus

Radio frequency Integrated Circuits: Transceiver architectures-Role of RFICs in


Transceiver, Lower frequency design and RFIC design-design issues of RFICs in
transceivers-Active/Passive device technologies for RFIC implementations-Modern RFIC
chip sets for current wireless standards, Packaging techniques, High frequency
measurement RFIC design issues: Noise, Linearity and distortion in RF circuits, dynamic
range, Filtering issues, selectivity, and sensitivity and phase noise Design of Passive
circuit elements in IC technologies: Sheet resistance, Parasitic effects(L and C),metal
migration, Poly resistors, diffusion resistors, On chip spiral inductors, design of inductors,
Lumped model of inductors, Multilevel inductors, capacitors, on chip transmission lines,
Design of active circuit in IC technologies: Low noise amplifier, Power amplifier, mixers
,frequency synthesizers and Oscillators, Foundry Process, Computer aided design of
RFICs: Introduction to Commercial packages, Introduction and usage of CADENCE, Spectre
RF tool , Simulation of active and passive circuits using Cadence Spectre RF.

Reference Books
1. John M. W. Rogers, John W. M. Rogers, Calvin Plett, “Radio Frequency Integrated
Circuit Design”, Second Edition, Artech house 2010.
2. Thomas H. Lee, “The Design of CMOS Radio-Frequency Integrated Circuits”,
Second Edition, Cambridge University Press, 2004.
3. Behzad Razavi, “ Fundamentals of Microelectronics”, Prentice Hall, 2008
4. Robert Caverly, “CMOS RFIC Design Principles” Artech House, 2007.
5. Habil. MBA Frank Ellinger, “Radio frequency integrated circuits and technologies”,
Springer-Varlag Berlin Heidelberg, 2007.

67
TCE - M.E. Degree (Communication Systems)

Course Contents and Lecture Schedule


Sl.No: Topic No. of Lectures
Radio frequency Integrated Circuits
Introduction
1 Transceiver architectures-Role of RFICs in Transceiver 1
1.1 Lower frequency design and RFIC design-issues 2
1.2 Active/Passive device technologies for RFIC 1
implementations
1.3 Modern RFIC chip sets for current wireless standards 1
1.4 Packaging techniques 1
1.5 High frequency measurement 1
2 RFIC design issues
2.1 Noise 3
2.1 Linearity 2
2.2 Distortion in RF circuits 1
2.3 Dynamic range 1
2.4 Filtering issues, selectivity, and sensitivity 1
2.5 Phase noise
3 Design of Passive circuit elements in IC technologies
3.1 Introduction 1
3.2 Sheet resistance, Poly resistors, diffusion resistors 2
3.3 On chip spiral inductors 2
3.4 Multilevel inductors 3
3.5 On chip capacitors 1
3.6 on chip transmission lines 1
4 Design of active circuit in IC technologies
4.1 Low noise amplifier 4
4.2 Power amplifier 3
4.3 Mixers 3
4.4 Oscillator 2
4.5 Frequency Synthesizers 2
5. Foundry standards 2
6 Computer aided design of RFICs
5.1 Introduction to Commercial packages 1
5.2 Introduction and usage of CADENCE 1
5.3 Spectre RF tool 1
5.4 LNA design and simulation using Spectre RF 2
5.5 Mixer design and simulation using Spectre RF 2
5.6 Oscillator design 2
5.7 Frequency Synthesizer design and simulation 2
Total Number of Hours 46

Course Designers:
1. Dr. S.Kanthamani [email protected]
2. Dr. S.Raju [email protected]

68
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPB0 RADAR SIGNAL PROCESSING
PE 3 1 0 4

Preamble
The objective of this course is to provide in-depth coverage of fundamental topics in radar
signal processing from a digital signal processing perspective. The techniques of linear
systems, filtering, sampling, and Fourier analysis techniques and interpretations are used in
this course to provide a unified approach in improving probability of detection and Signal to
interference ratio.
Prerequisite
NIL

Course Outcomes
On the successful completion of the course, students will be able to
CO1. Explain the generic RADAR signal processor flow of operations. Understand
CO2. Describe the signal models such as Amplitude model, clutter model, Understand
noise model, jamming model, frequency model, spatial model and
Sampling concept in designing and analyzing RADAR signal processor.
CO3. Design RADAR waveforms, Matched filter, Moving target indication and Apply
Pulse Doppler processing for RADAR receivers
CO4. Describe and apply the detection rules/tests such as Neyman-Pearson Apply
principle, Likelihood ratio test for RADAR signal processing.
CO5. Design a CFAR detector to improve the detection performance of Create
RADAR.

Mapping with Programme Outcomes

Cos PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S M S - - - -- - - - -
CO2 M S S M - - - - - - -
CO3 M M S S M - - M M - -
CO4 L M S S S L - L M - -
CO5 L S S S S S - M S - -
S- Strong; M-Medium; L-Low

Assessment Pattern
Continuous Assessment Tests
Bloom‟s Category Terminal Examination
1 2 3
Remember 20 20 10 10
Understand 20 20 10 10
Apply 60 60 80 80
Analyse 0 0 0 0
Evaluate 0 0 0 0
Create 0 0 0 0

69
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions


Course Outcome 1 (CO1):
1. Mention the basic RADAR functions.
2. What is clutter?
3. Define ambiguity function.
Course Outcome 2 (CO2):
1. Define pulse to pulse decorrelation and scan to scan decorrelation.
2. State the conditions for an area scatterers is said to be „beam limited‟ or „pulse
limited.
3. Define cross range resolution and range resolution
Course Outcome 3 (CO3):
1. Compute the maximum instantaneous SNR at the output of a linear filter whose


impulse response is matched to the signal x  t   exp t
2

2T .
2. Consider a linear FM waveform that sweeps from 9.5 to 10.5 GHz over a pulse
duration of 20µsec.
a. What is the time bandwidth product?
b. Determine the Rayleigh resolution (in meters) of the matched filter output
c. Determine the ambiguity function
3. Draw the spectrum corresponding to successive signals in digital I/Q system shown
in figure

4. Consider an X-band (10 GHz) RADAR with a peak transmitted power of 1kW and a
pencil beam antenna with a 10 beam width, and suppose an echo is received from a
jumbo jet aircraft with an RCS of 100 m2 at a range of 10 km. Determine the received
power Pr.
1; 0 ≤ 𝑡 ≤ 𝜏
5. Consider a simple pulse of duration 𝜏 secs 𝑥 𝑡 = passed in to a
0 𝑜𝑡ℎ𝑒𝑟𝑤𝑖𝑠𝑒
𝛼; 𝑇𝑀−𝜏 ≤ 𝑡 ≤ 𝑇𝑀
matched filter with impulse responseℎ 𝑡 = . Calculate the output
0; 𝑜𝑡ℎ𝑒𝑟𝑤𝑖𝑠𝑒
of the matched filter𝑦 𝑡 . The average time between false alarms is specified as 30
minutes and the receiver bandwidth 0.4 MHz.
o What is the probability of false alarm Pfa?
o What is the threshold –to – noise power ratio?
6. Consider a supersonic aircraft traveling at Mach 2 (about 660 m/s) and the RADAR is
operating in L-band. Find the Doppler frequency for the given target.
7. Find the NP test to distinguish between the hypotheses that a sample x0 is
observed from the possible PDFs

H 0 : p x0  exp  x0 


1
2
 1 
H 1 : p x0  exp   x 2 0
1
2  2 

70
TCE - M.E. Degree (Communication Systems)

Show the decision regions.


8. Weather radar has a PRF of 2 kHz. Using a series of 50 samples of data from a
particular range bin and look direction, we compute the following values of the
autocorrelation function: 
s y 0  50, s y 1  30 exp j 
3
. Use the pulse-pair
processing (PPP) time domain method to compute the estimated mean frequency
of the echo in Hz.

Course Outcome 4 (CO4):


1. Find the NP test to distinguish between the hypotheses that a sample x0 is
observed from the possible PDFs
H 0 : p x0  exp  x0 
1
2
 1 
H 1 : p x0  exp   x 2 0
1
2  2 
2. Determine the matched filter output for P3 codes of length 4 and 5.
H o : y i  wi for i  0,1...N  1
3. Consider the detection problem where w i are i.i.d
H1 : y i  m  wi for i  0,1...N  1
Complex Gaussian random variables with zero mean and variance  2 , m is
constant. Assume that the detection is based on coherent integration of N samples.
a. Determine the coherent integration detection rule.
b. Determine the expressions for PFA

Course Outcome 5 (CO5):


H 0 : x[0]  N (0,1)
1. Find the MAP decision rule for if p( H 0 )  1/ 2 and also if
H1 : x[0]  N (0, 2)
p( H 0 )  3/ 4 . Display the decision regions in each case and explain.
2. Consider the data correspond to Gaussian I/Q noise with power 20 dB, a single non
fluctuating target with a power of 35 dB present in range bin 50, if the desired
Probability of false alarm is PFA  103 , what is the ideal threshold? Now by using
CA CFAR with leading and lagging windows of 10 cells each after 3 guard cells are
used to estimate the interference power. Find the CA CFAR threshold?
3. Discuss the threshold settings in two parameters CFAR and distributed CFAR.

Concept Map

71
TCE - M.E. Degree (Communication Systems)

Syllabus

Introduction to RADAR systems: Elements of a pulsed RADAR, transmitter and waveform


generator, antennas and receiver. Phenomenology: Resolution, spatial frequency, Fourier
transform, sampling, vector representation of signals, data integration and correlation.
Signal models: Amplitude model, clutter model, noise model, jamming model, frequency
model, spatial model Signal conditioning: Sampling, Digital I/Q Pulse Compression
waveforms phase modulated, frequency modulated, Clutter filtering, vector formulation of
matched filter, Matched filters for clutter suppression, Doppler processing, pulse Doppler
processing, pulse pair processing, Hypothesis testing: Radar detection: Neyman Pearson
detection rule, likelihood ratio test, coherent detection: Gaussian case for coherent receivers,
unknown parameters and threshold detection CFAR detection: Cell averaging CFAR,
analysis of cell averaging CFAR concept, CA CFAR limitations, adaptive CFAR, two
parameter CFAR, distribution free CFAR

Reference Books

1. Mark A.Richards, Fundamentals of Radar Signal Processing, Tata McGraw Hill Edition
2005.
2. Merrill I. Skolnik, Introduction to RADAR Systems, Tata McGraw Hill, Third Edition 2001.
3. Steven M.Kay, “ Fundamentals of Statistical Signal Processing”, Vol II Detection Theory,
Prentice Hall Inc, 1998.

Course Contents and Lecture Schedule

Module No. of
Topic
No. Lectures
1 Phenomenology
1.1 Resolution, 1
1.2 spatial frequency 1
1.3 Fourier transform 1
1.4 Sampling 1
1.5 vector representation of signals 1
1.6 Data integration and correlation 1
2 Signal models
2.1 Amplitude model 2
2.2 Clutter model 2
2.3 Noise model 1
2.4 Jamming model 1
2.5 Frequency model, 1
2.6 Spatial model 1
3 Signal conditioning
3.1 Sampling 2
3.2 Digital I/Q 2
4 Pulse Compression
4.1 Phase Modulated 2
4.2 Frequency Modulated 2
4.3 Clutter filtering 2
4.3.1 Vector formulation of matched filter 1
4.3.2 Matched filters for clutter suppression 1
4.4 Doppler processing 1
4.4.1 Pulse Doppler processing 1
4.4.2 Pulse pair processing 1
5 Hypothesis testing

72
TCE - M.E. Degree (Communication Systems)

Module No. of
Topic
No. Lectures
5.1 Radar Detection 1
5.1.1 Neyman-Pearson detection rule 2
5.1.2 Likelihood ratio test 2
5.2 Coherent Detection 1
5.2.1 Gaussian case for coherent receivers 1
5.2.2 Unknown parameters and threshold detection 1
6 CFAR detection
6.1 Cell averaging CFAR 1
6.1.1 Analysis of cell averaging CFAR 2
6.1.2 CA CFAR limitations 1
6.2 Adaptive CFAR 1
6.3 Two parameter CFAR 1
6.4 Clutter map CFAR 1
6.5 Distribution free CFAR 1

Course Designers:

1. Dr.S.J. Thiruvengadam [email protected]


2. Mr. P.G.S.Velmurugan [email protected]

73
TCE - M.E. Degree (Communication Systems)

MULTIMEDIA COMMUNICATION Category L T P Credit


14CNPC0
SYSTEMS PE 3 1 0 4

Preamble
Multimedia has become an indispensable part of modern computer technology. In this
course, students will be introduced to all aspects of multimedia representations,
communication, compression, retrieval and applications. This course will introduce issues in
effectively representing, processing and retrieving multimedia data such as sound and
music, graphics, image and video. The students will gain knowledge in those areas by
studying about current media types of audio, image and video, and how they are used to
create multimedia content, compress and distribute them via networked system to variety of
end clients. They will also gain the knowledge about the established multimedia ISO
standards such as – JPEG 2000, JPEG LS,MPEG2, MPEG4, MPEG7 and MPEG 21.

Prerequisite
Nil
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Understand data representations for multimedia applications such as Apply


image data, audio and video data.
CO2. Understand video File formats and compression. Apply
CO3. Examine the ideas behind MPEG standards such as MPEG 1, MPEG 2, Analyze
MPEG 4 and MPEG 7.
CO4. Examine how to support multimedia applications with appropriate Analyze
operating system, file system, and architectural features.

Mapping with Programme Outcomes

Cos PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S M S - - - -- - - - -
CO2 M S S M - - - - - - -
CO3 M M S S M - - M M - -
CO4 L S S S S S - M S - -
S- Strong; M-Medium; L-Low

Assessment Pattern
Continuous Assessment Tests
Bloom‟s Category Terminal Examination
1 2 3
Remember 20 20 20 20
Understand 40 40 20 20
Apply 40 40 60 60
Analyse 0 0 0 0
Evaluate 0 0 0 0
Create 0 0 0 0

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. Define SMIL.
2. Define sound.

74
TCE - M.E. Degree (Communication Systems)

3. State the relationship between STP and LTP.


4. Define signal to quantization noise ratio.
5. Compare JPEG and JPEG 2000.
6. List the MPEG audio layers.
7. Define motion compensation.
8. Define open protocols and systems.
9. Define the bit rates over ATM?

Course Outcome 2 (CO2):


1. Explain multimedia authoring tools with neat sketch.
2. What are the ways to effectively present Multimedia?
3. Discuss about the different color models for video.
4. Explain about the structure and hardware aspects of Musical Instrument Digital
Interface (MIDI)
5. Explain the concept of dithering to print images
6. Discuss about MPEG 4 video coding with neat sketch?
7. Explain the following terms: a) ATM b) ISDN
8. Explain different multiplexing technologies with neat sketch.

Course Outcome 3 (CO3):


1. Suppose we have a 5 bit grayscale image. What size of ordered dithered matrix
do we need to display the image on a 1 bit printer?
2. Can a single MIDI message produce more than one note sounding?
3. Is it possible for more than one note to sound at once on a particular instrument?
If so, how is it done in MIDI?
Course Outcome 4 (CO4):
1. How can we retrieve the images? Explain C-BIRD using a case study?
2. How Relevance feedback is used in CBIR system.
3. How does MPEG -4 perform VOP- based motion compensation?

Concept Map

75
TCE - M.E. Degree (Communication Systems)

Syllabus
Introduction to Multimedia- Multimedia and Hypermedia- World Wide Web- Multimedia
Software Tools-Multimedia Authoring and Tools- Editing and Authoring Tools, Adobe
Premier-DreamWeaver VRML, Macromedia Graphics and Image data Representations- -
Multimedia Data , Audio, Image and Video- Audio- MIDI- Musical Instrument Digital
Interface-Basic Audio Compression Techniques, PCM,DM- MPEG Audio Compression
MPEG 2,4,7 and 21- Image- Image model-RGB, CMY -Image Compression Standards
JPEG Standard, JPEG 2000 Standard- Image File formats- GIF, TIFF,PNG,WMF,PS, JPEG,
EXIF, Graphics and Animation Files, PDF, BMP, PPM Video – Color models in video-
YUV,YIQ,YCbCr,- Types – Component, Composite, S-Video- Analog video – NTSC, PAL,
SECAM- Digital video – Chromo subsampling, CCIR, HDTV-Video Compression
Techniques- Basic Video Compression Techniques- Video compression based on motion
compensation- MPEG Video Coding I: MPEG 1 and MPEG 2- MPEG Video Coding II:
MPEG 4, 7 and 21- Multimedia Communication- Computer and Multimedia Networks-
Multiplexing Technologies ISDN, SONET, ADSL- LAN and WAN- Multimedia Network
Communications and Applications- Quality of Multimedia Data Transmission- Multimedia
over IP- Multimedia over ATM networks- Media on Demand (MOD)- Multimedia Retrieval:
Content- Based Retrieval in Digital Libraries- C-BIRD- Color Histogram, Color Density, Color
Layout- Texture Layout- Search by Illumination Invariance-Search by Object Model- QBIC,
Blob world, Metaseek, Mars, viper- Relevance Feedback- Querying on Videos

Reference Books

1. Ze-Nian Li, and Mark S. Drew, “Fundamentals of Multimedia”, Pearson Prentice Hall,
October 2003.
2. K. Rammohanarao, Z. S. Bolzkovic, D. A. Milanovic, “Multimedia Communication
Systems”, 1st edition, Prentice Hall, May 2002.
3. Yao Wang, Joern Ostermann, and Ya-Qin Zhang, “Video Processing and
Communications”, Prentice Hall, 2002.
4. Michael Rabinovich and Oliver Spatscheck, “Web Caching and Replication”,
Addison-Wesley, 2002.
5. Fred Halsall, Multimedia Communications: Applications, Networks, Protocols and
Standards, Addison-Wesley, 2001.

Course Contents and Lecture Schedule

Module No. of
Topic
No. Lectures
I Introduction to Multimedia 1
Multimedia and Hypermedia- World Wide Web
1 Multimedia Software Tools
1.1 Multimedia Authoring and Tools 1
1.2 Editing and Authoring Tools, Adobe Premier, DreamWeaver, 1
1.3 VRML 1
1.4 Macromedia 1
1.5 Graphics and Image data types 1
2.1 Multimedia Data , Audio, Image and Video- Audio
2.1.1 MIDI- Musical Instrument Digital Interface 1
2.1.2 Basic Audio Compression Techniques- PCM, 1
2.1.3 DM 1
2.1.4 MPEG Audio Compression MPEG 2,4,7 and 21 1
2.2 Image- Image model
2.2.1 RGB, 1
2.2.2 CMY 1

76
TCE - M.E. Degree (Communication Systems)

Module No. of
Topic
No. Lectures
2.2.3 Image Compression Standards JPEG 2000 Standard, 1
2.2.4 JPEG – LS Standard 1
2.2.5 Image File formats-
2.2.5.1- GIF, TIFF,PNG,WMF,PS, JPEG, EXIF, Graphics and Animation 2
2.2.5.10 Files, PDF, BMP, PPM
2.3 Video – Color models in video 1
2.3.1 YUV, YIQ, YCbCr 1
2.3.2 Analog video 1
2.3.2.1 – NTSC, PAL, SECAM 1
2.3.3 Digital video – 1
2.3.3.1 Chromo subsampling, CCIR, HDTV 1
2.3.4 Types – Component, Composite, S-Video 1
2.3.5 Basic Video Compression Techniques- Video compression
based on motion compensation- MPEG Video Coding I:MPEG 1 2
and MPEG 2, MPEG 4, 7 and 21
3 Multimedia Communication 1
3.1 Multiplexing Technologies 1
3.1.1 ISDN, 1
3.1.2 SONET, 1
3.1.3 ADSL 1
3.1.4 LAN and WAN 1
3.2 Multimedia Network Communications and Applications 1
3.2.1 Multimedia over IP 1
3.2.2 Multimedia over ATM networks 1
3.2.3 Media on Demand (MOD) 1
4 Multimedia Retrieval: Retrieving Images-Content- Based
1
Retrieval in Digital Libraries
4.1 C-BIRD 1
4.1.1 Color Histogram, Color Density, Color Layout 1
4.1.2 Texture Layout 1
4.1.3 Search by Illumination Invariance 1
4.1.4 Search by Object Model 1
4.2 QBIC, Blob world, Metaseek, Mars, viper 1
4.3 Relevance Feedback 1
4.4 Querying on Videos 1

Course Designers:

1. Dr.S.Md.Mansoor Roomi [email protected]


2. Dr.B.Yogameena [email protected]

77
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPD0 ANALOG CMOS CIRCUIT DESIGN
PE 3 1 - 4

Preamble
The course aims at understanding the engineering and design principles of Analog CMOS
technology for application in analog integrated circuits and subsystems.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Analysis of large and small signal model of MOSFETs Analysis


CO2. Design of Analog CMOS Subcircuits including MOS Switch, Current Create
sinks and Sources, Current Mirrors.
CO3. Design of CMOS Single Stage Amplififiers including Differential Create
Amplifiers, Cascode Amplifiers and Inverters
CO4. Design of CMOS Operational Amplifiers considering Power Supply Analysis
rejection ratio and noise.
CO5. Design of CMOS Analog circuits including open loop comparator Synthesis
and Digital- Analog Converters.

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S M - - - - - - - - -
CO2 S S M L - - - L - - -
CO3 S S M L - - - L - - -
CO4 S S S L - - - L - - -
CO5 S M S L - - - - - - -
S- Strong; M-Medium; L-Low
Assessment Pattern
Continuous Assessment Tests
Bloom‟s category Terminal Examinations
1 2 3
Remember 20 10 0 0
Understand 30 30 20 20
Apply 30 40 50 50
Analyze 0 0 0 0
Evaluate 0 0 0 0
Create 20 20 30 30

78
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. Find the values of gm,gmbs and gds for the both n-channel and p-channel device if the dc
value of the magnitude of the drain current is 50µA and the magnitude of the dc value of
the source-bulk voltage is 2V.Assume that the W/L ration is 1µm/1µm.
2. Develop an expression for the small-signal transconductance of an MOS device
operating in weak inversion using the large-signal expression.
3. Calculate the Von for an NMOS transistor in weak inversion assuming that f s and fn can
be approximated unity.
4. Find the small signal voltage gain and the -3dB frequency in hertz for the active load
inverter, the current source inverter and the push pull inverter if W1=2µm, L1= µm, W2=1
µm, L2=1 µm and the dc current is 50 µA. Assume that Cgd1=4pF, Cbd1=10pF, Cgd2= 4pF,
Cbd2=10fF, Cgs2=5pF and CL=1pF.
5. Find the complete small signal model for an n-channel transistor with the drain current at
4V, gate at 4V, Source at 2V, and bulk at0V.

Course Outcome 2 (CO2):

9. If the mobility of an electron is 500cm2/(V-s) and the mobility of the hole is 200cm2/(V-s).
Compare the performance of an n-channel with a p-channel transistor. In particular,
consider the value of the transconductance parameter and speed of the MOS transistor.
10. Using small signal analysis, design the output impedance of a MOS cascode current
mirror. Include in your analysis the voltage –dependent current source that models
the body effect.
11. Design Analog CMOS subcircuits including MOS Switch, MOS Diode/Active Resistor,
Current Sinks and Sources, Current Mirrors, Bandgap Reference, Current and Voltage
References.
12. Design the current boosting mirror to achieve 100µA output when M2 is saturated.
Assume that i1=10 µA and W1/L1=10. Find W2/L2 and the value of VDS2 where i2 =10 µA.
13. In the following figure replace R1 with a differential amplifier using a current mirror load.
Design the differential transconductance gm so that it is equal to 1/R1.

Course Outcome 3 (CO3):


1. CMOS amplifier is shown in figure. Assume M1 and M2 operate in the saturation
region.
(a) What value of VGG gives 100 µA through M1 and M2?
(b) What is the dc value of VIN?
(c) What is the small signal voltage gain, Vout/Vin, for this amplifier?

79
TCE - M.E. Degree (Communication Systems)

(d) What is the -3dB frequency in hertz of this amplifier if Cgd1=Cgd2=5fF,


Cbd1=Cbd2=30fF and CL=500fF?

2. An MOS output stage is shown in figure. Draw a small signal model and calculate
the ac voltage gain at low frequency. Assume that bulk effects can be neglected.

3. The specification for a cascade amplifier are VDD=5V, Pdiss=1mW, Av=-50V/V,


Vout(max)= 4V and Vout(min)=1.5V.The slew rate with a 10pF load should be 10V/µs
or greater.
4. Develop the expression for VIC(max) and VIC(min) for the p-channel input differential
amplifier.

Course Outcome 4 (CO4):


1. Assume the S/H of diode bridge track and hold circuits has each of D 5 and D 6
replaced by two series diodes. Show the voltages at all nodes for the cases of
sampling a 1 V input and a -1 V input for before as well as after track mode.

2. A CMOS amplifier is shown in figure. Assume M1 and M2 operate in the saturation


region.
(a) What value of VGG gives 100 µA through M1 and M2?
(b) What is the dc value of VIN?
(c) What is the small signal voltage gain, Vout/Vin, for this amplifier?
(d) What is the -3dB frequency in hertz of this amplifier if Cgd1=Cgd2=5fF,
Cbd1=Cbd2=30fF and CL=500fF?

80
TCE - M.E. Degree (Communication Systems)

3. An improved bandgap reference generator is illustrated in figure below. Assume that


the device M1 through M5 are identical in W/L. Further assume that the area ratio for
the bipolar transistor is 10:1. Design the components to achieve an output reference
output reference voltage of 1.262V. Assume that the amplifier is ideal. What
advantage, if any , is there in stacking the bipolar transistor.

4. Find the value of Vonn and Vonp of the following figure. If the W and L values of all
transistors are 10 µm and 1 µm, respectively, and the bias current in MN5 and MP5
are 100 µA each.

Course Outcome 5 (CO5):


1. Assume for a 0.8 µm technology that A0= 20, n = 3, Veff=0.5 V, and µn=0.05 M2/V. What is
the maximum clocking frequency of the comparator?.In the following figure replace R1
with a differential amplifier using a current mirror load. Design the differential
transconductance gm so that it is equal to 1/R1.
2. Assume that the first resistor string of a 10 bit, multiple-R-string, D/A converter must
match to 0.1 percent, and that the first string realizes the top 4 bits. What is the matching
requirement of the second resistor string, which realizes the lower 6 bits?
3. An 8 bit D/A converter has Vref = 5 V. What is the output voltage when Bin=10100100?
Also find VLSB.
4. Design a comparator given the following requirements: Pdiss<2mW, Vdd=3V, Vss=0V,
Cload= 3pF, tprop<1µs, ICMR-1.5-2.5 V, A0>2200 and output voltage swing within 1.5V.

81
TCE - M.E. Degree (Communication Systems)

Concept Map

Syllabus
CMOS Technology and Device Modeling: The PN Junction, The MOS Transistor, Passive
Components, MOS Large-Signal Model, MOS Small-Signal Model, Computer Simulation
Models, Subthreshold MOS Model, SPICE Simulation of MOS Circuits. Analog CMOS
Subcircuits: MOS Switch, MOS Diode, Current Sinks and Sources, Current Mirrors, Current
and Voltage References, Bandgap Reference. CMOS Single Stage Amplifiers: Inverters,
Differential Amplifiers, Cascode Amplifiers, Current Amplifiers, Output Amplifiers/Buffers.
CMOS Operational Amplifiers: Design of CMOS Op Amps, Compensation of Op Amp,
Design of Two-Stage Op Amps, Power-Supply Rejection Ratio of Two-Stage Op Amps,
Cascode Op Amps, Noise in Op Amps. Analog CMOS Circuits: Characterization of a
Comparator, Open-Loop Comparator Design, Improving the Performance of Open-Loop
Comparators, Characterization of Digital-Analog Converters, Current/Voltage and Charge
Scaling Digital-Analog Converters, High Speed Analog-Digital Converters.

Reference Books
1. Phillip E.Allen, Douglas R.Holberg, “CMOS Analog Circuit Design”, Third edition,
Oxford University Press, 2011.
2. Behzad Razavi, “Design of Analog CMOS Integrated Circuits”, Tata McGraw Hill,
2002.
3. David Johns, Ken Martin,” Analog Integrated Circuit Design”, Second Edition, Wiley,
2011.
4. P. R. Gray, P. J. Hurst, S. H. Lewis, R. G. Meyer “Analysis and Design of Analog
Integrated Circuits”, Fourth Edition, Wiley-India, 2008.
5. Willey M.C. Sansen, “Analog design essentials”, Springer, 2006.
6. Franco Maloberti, “Analog design for CMOS VLSI systems”, Springer, 2001.
7. Kenneth Laker, Willy Sansen “Design of Analog Integrated Circuits and Systems”,
McGraw-Hill, 1994.

82
TCE - M.E. Degree (Communication Systems)

Course Contents and Lecture Schedule

No. Topic No. of Lectures


1 CMOS Technology and Device Modeling
1.1 The PN Junction 1
1.2 The MOS Transistor 1
1.3 Passive Components 1
1.4 MOS Large-Signal Model 1
1.5 MOS Small-Signal Model 1
1.6 Computer Simulation Models 1
1.7 Subthreshold MOS Model 1
1.8 SPICE Simulation of MOS Circuits 2
2 Analog CMOS Subcircuits
2.1 MOS Switch 1
2.2 MOS Diode 1
2.3 Current Sinks and Sources 2
2.4 Current Mirrors 2
2.5 Current and Voltage References 1
2.6 Bandgap Reference 1
3 CMOS Single Stage Amplifiers
3.1 Inverters 1
3.2 Differential Amplifiers 2
3.4 Cascode Amplifiers 1
3.5 Current Amplifiers 1
3.6 Output Amplifiers/Buffers 1
4 CMOS Operational Amplifiers
4.1 Design of CMOS Op Amps 2
4.2 Compensation of Op Amp 1
4.3 Design of Two-Stage Op Amps 2
4.4 Power-Supply Rejection Ratio of Two-Stage Op Amps 1
4.5 Cascode Op Amps 1
4.6 Noise in Op Amps 1
5 Analog CMOS Circuits
5.1 Characterization of a Comparator 1
5.2 Open-Loop Comparator Design 2
5.3 Improving the Performance of Open-Loop Comparators 1
5.4 Characterization of Digital-Analog Converters 1
5.5 Current/Voltage and Charge Scaling Digital-Analog Converters 2
5.6 High Speed Analog-Digital Converters 1
Total Hours 45

Course Designers:
1. Dr.N.B.Balamurugan [email protected]
2. Mr.V. R. Venkatasubramani [email protected]

83
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPE0 REAL TIME EMBEDDED SYSTEMS
PE 3 1 0 4

Preamble
The goal of this course is to familiarize students with the technologies and issues involved in
Real-Time and hardware-resource constrained systems. Design engineers are often called
upon to make decisions about general purpose computing solutions vs. specialized
hardware solutions, this course will give students the tools to intelligently make the
necessary tradeoffs and understand the business consequences of their choices in Real
Time Embedded System Design. ARM processors are embedded in products ranging from
mobile phones to automotive braking systems. The course begins by a brief note on the
ARM processor design philosophy and discussing how and why it differs from the traditional
RISC philosophy and also introduces a simple embedded system based on the ARM
processor. It teaches proven techniques and rules for writing C code that will compile
efficiently on the ARM architecture, and it helps determine which code should be optimized.
It covers the theory and practice of handling exceptions and interrupts on the ARM
processor through a set of detailed examples. Real-time Embedded systems are created for
a special application. In general, real-time embedded systems are required to have
multitasking, prioritized process threads and sufficient number of interrupt levers. They are
often required in small embedded operating systems that are packaged as part of micro-
devices. The kernel programs can be considered to meet the requirements of a real-time
embedded system.

Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to
CO1. Apply the idea of Real Time Embedded System in Engineering and Apply
science.
CO2. Design and analyze the Real time embedded system for engineering Apply
applications.
CO3. Identify, formulate and solve Real Time System for specific Analyze
Engineering applications.
CO4. Design, Apply and analyze the performance parameters of ARM based Analyze
Hardware for the solution of Real Time Embedded System.
CO5. Test and validate the performance of the embedded hardware and Create
software.

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S M S - - - -- - - - -
CO2 M S S M - - - - - - -
CO3 M M S S M - - M M - -
CO4 L M S S S L - L M - -
CO5 L S S S S S - M S - -
S- Strong; M-Medium; L-Low

84
TCE - M.E. Degree (Communication Systems)

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 20 20 10 10
Understand 40 40 40 40
Apply 40 40 20 20
Analyse 0 0 20 20
Evaluate 0 0 0 0
Create 0 0 10 10

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. What is an AMBA and HBA Bus?
2. What is a pipeline structure in ARM processor?
3. What is a register file in ARM processor?
4. Define the term IPC.
5. What do you meant by Real Time system?
6. What is a Thread?

Course Outcome 2 (CO2):


1. Differentiate between CISC and RISC?
2. How does pipeline in a processor work?
3. State the advantage of Pipeline structure.
4. Distinguish traditional computing system and Real time embedded system.
5. How does ARM handle the interrupts?
6. Write the importance of RTOS for an embedded system.
7. Compare and explain various Loop execution (optimized) in ARM processors.
8. Explain the Flushing and Cleaning methods of Cache Memory.
9. Explain the function of memory management.

Course Outcome 3 (CO3):


1. Develop an assembly level program for computing Fibonacci function with less
memory usage.
2. Develop a C program for ARM processor for accessing an IO using polling method
and interrupt driven method.
3. Write an assembly level program for transferring a file from one space to another
space using memory management unit.
4. Develop an assembly code to run floating point primitive for IEEE754 format and in
ARM7 Processor.

Course Outcome 4 (CO4):


1. Develop a pseudo level c code for providing a semaphore to access a specific
hardware resource in a two concurrent process of a multitasking system.
2. Develop a pseudo level c code for providing a pipe for two tasks running in
multitasking.
3. Design an embedded system which can react for opening and closing the door, upon
correct key stroke entry in a security system.
4. Design a digital clock and wake timer using ARM processor with appropriate interrupt
handling.
5. Design an embedded system to mange multiple task in real time.

85
TCE - M.E. Degree (Communication Systems)

Course Outcome 5 (CO5):


1. Design an Embedded system for a data acquisition system with multi tasking in real
time.
2. Design an Embedded system for a vending machine using polled loop kernel
method.
3. Design an Embedded system for an Electronic instruments using interrupt driven
kernel method.

Concept Map

Syllabus

Real time embedded system and HW plot form: Modern Embedded system resources,
features, range and future. Intel CISC Processor, peripherals, memory and device
interconnects. Developing an embedded system: CISC–Atom processor. Intel Hub
controller, mulitiradio and multimedia hardware design. ARM Processor: RISC architecture,
registers and instructions sets. Real-time systems: Concepts and scheduling, IPC,
synchronization, Threads overview, Multithreading models, Real Time kernel and memory
management: Process stack management, Dynamic allocation, and System performance
analysis. Validation and testing: Program validation and testing, Types of testing,
Evaluation of function and performance testing, System design technique.

Reference Books

1. “Peter Barry Patrick Crowley” Modern Embedded Computing Designing Connected,


Pervasive, Media-Rich Systems, Elsevier 2012
2. Andrew N. Sloss Dominic Symes Chris Wright “ARM System Developer‟s Guide
Designing and Optimizing System Software” Elseivier inc 2007.
3. Philip A. Laplante, “Real time systems Analysis and Design – An Engineer‟s
Handbook”, IEEE computer society press PHI, 4th Ed. 2007.
4. Karl Hamcher, Zvonko Vranesic, Safwat Zaky, “Computer Organization”, fifth ed.
McGraw Hill -2002.

86
TCE - M.E. Degree (Communication Systems)

Course Contents and Lecture Schedule

Module Topic No. of


No. Lectures
1 Real Time embedded system and HW plotform 10
1.1 Embedded system resource, feature, Range 1
1.2 Future of Embedded system 1
1.3 Embedded processor, Interrupt controller 2
1.4 Timer and counter 2
1.5 Memory- DRAM, NAND NOR Flash 2
1.6 Device interconnects 2
2 Developing an Embedded system 8
2.1 Intel ATOM E6xx –IA32 CISC architecture 1
2.2 Hub controller 1
2.3 Mulitradio communication design 1
2.4 Mulitmedia hardware design 1
2.5 Memory mapping and protection 2
2.6 MMU and Process. Memory Hierarchy 2
3 ARM-RISC Architecture 10
3.2 ARM architecture-RISC 2
3.2 Register files, pipelines 2
3.3 ARM Family cores 2
3.4 ARM and Thump Instructions sets 4
4 Real-time Operating systems 10
4.1 Concepts and scheduling 1
4.2 Inter Process Communication and synchronization 2
4.3 Thread, Multithreading and Real Time kernel. 2
4.4 Process stack management 2
4.4 Dynamic allocation 2
4.5 System performance analysis 1
5 Validation and testing 6
5.1 Program validation and testing 2
5.2 Types of testing, evaluation of function and performance testing 2
5.3 System design technique 2
Total Number of Hours 44

Course Designers:

1. Dr.K. Hariharan [email protected]


2. Dr.L.R. Karl Marx [email protected]

87
TCE - M.E. Degree (Communication Systems)

ESTIMATION AND DETECTION Category L T P Credit


14CNPF0
ALGORITHMS PE 3 1 - 4

Preamble
The course “14CNPF0: Estimation and Detection Algorithms” is offered as elective subject in
continuation with the course on “14CN120: Digital Communication Technique”. This course
aims at developing Estimation and Detection Algorithms for scalar and vector parameters of
a system in noise. Further, it also aims at developing algorithms for detecting the desired
signals from the noisy received signal.
Prerequisite
14CN120 Digital Communication Techniques
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Formulate the estimation problem and determine the CRLD for the Understand
given estimation problem.
CO2. Design an estimator based on maximum likelihood, maximum Apply
a posteriori, least square and minimum mean square error methods.
CO3. Formulate the detection problem Understand
CO4. Detect known signal in Gaussian noise using matched filter and Apply
generalized matched filter.
CO5. Detect Random signal in Gaussian noise using estimator correlator Apply
and design detectors for array processing applications.

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - L - - L - - -
CO2 S - - - L - - L - - -
CO3 S - - - L - - L - - -
CO4 S - - - L - - L - - -
CO5 S - L - L - - L - - -
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 20 20 10 10
2 Understand 20 20 10 10
3 Apply 60 60 80 80
4 Analyze 0 0 0 0
5 Evaluate 0 0 0 0
6 Create 0 0 0 0

Course Level Assessment Questions

88
TCE - M.E. Degree (Communication Systems)

Course Outcome 1 (CO1):

1. In Bayesian estimator, if the cost function is absolute error, the estimator is defined to
be the median of the posterior PDF. Justify
2. Can an optimal estimator be obtained from CRLB? Explain
3. Compare the estimation performance of ML, MAP and MMSE based estimators.
When an estimator is said to be unbiased?

Course Outcome 2 (CO2):


1. The data x(n)  Ar n  w(n) for n  0,1,....N  1 are observed, where w(n) is WGN
with variance  and r  0 is known.
2

a. Find the CRLB for A.


b. Show that an efficient estimator exists and find its variance.
2. Consider the observations x(n)  A  w(n) n  0,1 N  1 , where A is real number
N 1
1
and w(n) is WGN with variance  2 . Let the estimator Aˆ 
N
 x(n) . Prove that the
n0

PDF Â is N ( A,  / N )
2

3. MAP Estimator:
a. Assume that the conditional PDF p( x[n] |  )   exp( x(n)) x[n]  0
where the x[n]‟s are independent and identically distributed and the prior PDF
is p( )   exp{ }   0 . Determine MAP estimator for  .
b. The data x(n)  A  w(n) for n  0,1,....N  1 are observed, where A is
unknown and the noise variance  2 is also unknown. The conditional PDF
1  1 N 1

p(x / A,  2 )  exp   2   x ( n)  A   . The prior PDF for  is
2 2

 2 
N

 2 2 2 n 0

  
 exp   2 
p( )     2  0
4

Course Outcome 3 (CO3):


1. Detection performance is monotonic with deflection coefficient. Justify this statement.
4
2. For the DC level in WGN detection problem assume that we wish to have PFA  10
and PD  0.99 . If the SNR is 10log10 A2  2  30 dB, determine the necessary number of
samples N .

3. Explain the function of „Clairvoyant Detector‟?

Course Outcome 4 (CO4):


1. A radar signal s  n   A cos 2 f 0 n for n  0,1...N  1 is received embedded in WGN with
variance  2  1 . A detector is to be designed that maintains PFA  108 . If f0  0.25 and
N  25 , find the probability of detection versus A.
2. Consider the detection of s  n   A cos 2 f 0 n for n  0,1...N  1 in the presence of WGN with
variance  2 . Define the input SNR as the average power of a signal sample to the
2
 
noise power. This is approximately in  A 2  2 . Find the output SNR of a matched
and hence the PG. Next determine the frequency response of the matched filter and

89
TCE - M.E. Degree (Communication Systems)

plot its magnitude as N increases. Explain why the matched filter improves the
detectability of a sinusoid. Assume that 0  f0  1 2 and N is large.
3. In a Pulse Amplitude Modulation (PAM) communication system we transmit one of M
levels so that si  n   Ai , n  0,1...N  1 , for i  0,1...M  1 . If Pe is to be minimized and each
signal is equally likely to be transmitted, find the optimal receiver for WGN of
variance  2 .
Course Outcome 5 (CO5):
1. The output of an array of sensors is observed. There are M=2 sensors and N=3
samples with 1, 2,3 being observed at the output of the first sensor and 4,5, 6 being
observed at the output of the second sensor. Find x  n  , x m .
2. A sinusoidal random process is observed at the output of an array as
xm  n  A exp  j  2  f 0 m  f1n     where A is deterministic and  is a random variable
with  ~ u  0, 2  . Show that the cross-correlation between sensors m and m ' is
rmm '  k   A exp  j 2  f 0  m ' m   f1  k    .
2

3. For a complex Gaussian random signal with mean zero and known covariance
matrix Cs   s2 I embedded in CWGN with known variance  2 , find the NP detection
statistic. Explain your results.

Concept Map

Syllabus
Estimation: Mathematical Estimation problem, Assessing Estimator Performance,
Estimation Algorithms-Classical Approach: Minimum Variance Unbiased Estimation,
CRLB, Maximum Likelihood Estimation, Expectation Maximization Algorithms, Maximum a
Posteriori Estimator, Least Square Estimator, Best Linear Unbiased Estimation Estimation
Algorithms-Bayesian Estimator, Signal Processing Examples: Range Estimation,
Frequency, Estimation, Bearing Estimation, Autoregressive Parameter Estimation Detection
Algorithms: Classical Approach-Neyman Pearson Theorem, Bayesian Approach-
Minimization of Bayes Risk ,Receiver Operating Characteristics, Matched Filter:
Generalized Matched Filter, Multiple Signal, Estimator Correlator, Generalized Likelihood
Ratio Test: Composite Hypothesis Testing, Multiple Hypothesis Testing Detector for Array
Processing , Detectors for Array Processing Applications, Active SONAR/RADAR array
detector and Broadband Passive Array detector.

90
TCE - M.E. Degree (Communication Systems)

Reference Books
1. Steven M.Kay, “ Fundamentals of Statistical Signal Processing”, Vol I Estimation
Theory, Prentice Hall Inc, 1998
2. Steven M.Kay, “ Fundamentals of Statistical Signal Processing”, Vol II Detection
Theory, Prentice Hall Inc, 1998,
3. Monson H.Hayes, “ Statistical Digital Signal Processing and Modeling”, John Wiley,
1996
4. Sophocles. J. Orfanidis: “Optimum Signal Processing An Introduction”, Collier
Macmillan, 2nd edition 1998
5. John G. Proakis, Vinay K.Ingle, Stephen M.Kogon: “Statistical and adaptive signal
Processing: spectral estimation, signal modeling, adaptive filtering, and array
processing”, McGraw-Hill, 2000.

Course Contents and Lecture Schedule

No. Topic No. of Lectures


1 Estimation
1.1 Mathematical Estimation Problems 1
1.2 Assessing Estimator Performance 1
2 Estimation Algorithms- Classical Approach
2.1 Minimum Variance Unbiased Estimation 2
2.2 Maximum Likelihood Estimation 2
2.3 Maximum A Posteriori Estimation 2
2.4 Least Square Estimation 2
2.5 Best Linear Unbiased Estimation 2
3 Estimation Algorithms- Bayesian Approach 3
3.1 Signal Processing Examples
3.1.1 Range Estimation 1
3.1.2 Frequency Estimation 1
3.1.3 Bearing Estimation 1
3.1.4 Autoregressive Parameter Estimation 1
4 Detection Algorithms 2
4.1 Classical Approach -Neyman Pearson Theorem 2
4.2 Bayesian Approach-Minimization of Bayes Risk 2
4.3 Receiver Operating Characteristics 1
4.3 Examples 2
5 Matched Filter 3
5.1 Generalized Matched Filter 2
5.2 Multiple Signal Detection 2
5.3 Examples 2
6. Estimator Correlator 3
6.1 Examples 3
7 Generalized Likelihood Ratio Test 2
7.1 Composite Hypothesis Testing 2
7.2 Multiple Hypothesis Testing 2
7.3 Examples 2
8 Detectors for Array Processing Applications 2
8.1 Active SONAR/RADAR array detector 2
8.2 Broadband Passive SONAR array detector 2

Course Designers:
1. Dr. S.J. Thiruvengadam [email protected]

91
TCE - M.E. Degree (Communication Systems)

SATELLITE REMOTE SENSING AND Category L T P Credit


14CNPG0
DATA ANALYSIS PE 3 1 - 4

Preamble
This course deals with the acquisition and processing of satellite images to analyze and
extract information from them, using signal and image processing operations.
Prerequisite
NIL

Course Outcomes
On the successful completion of the course, students will be able to

CO1. Determine the quality of the image using noise model statistics and Apply
improve the quality of a distorted image by geo-referencing
CO2. Analyze the performance of supervised and unsupervised training Analyze
for different sensor data
CO3. Characterize the influence of feature extraction, in terms of Apply
accuracy on classified images
CO4. Determine the performance of different feature extraction methods Analyze
CO5. Remove the data redundancies by suitable compression Apply
techniques to transmit the satellite image efficiently

Course Outcomes

On the successful completion of the course, students will be able to

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9
CO1. S - - - - - -- - -
CO2. L S S - - - - - -
CO3. L - - S - - - - -
CO4. - L L - S S S - -
CO5. - - - M M M - S S
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 20 20 20 20
2 Understand 30 20 20 20
3 Apply 50 40 40 40
4 Analyze 0 20 20 20
5 Evaluate 0 0 0 0
6 Create 0 0 0 0
Course Level Assessment Questions
Course Outcome (CO1)

92
TCE - M.E. Degree (Communication Systems)

6. What is atmospheric window?


7. Define: spectral reflectance of earth surface features.
8. What is meant by geometric correction?
9. Write the law‟s 2D masks to ridges and waves.
10. What is meant by Scale space fusion?
11. Explain the terms; Fractal dimension, Lacunarity.
12. What are different types of Vegetation Indexes?
Course Outcome (CO2)
1. Prove the rotation invariance property of Fourier transform.
2. Explain how wetness and dryness are analyzed using tasseled cap transformation?
3. The cross-correlation coefficient is commonly used to register image patches. Which
environmental and calibration factors in remote-sensing imagery are removed by this
normalization?
4. Differentiate supervised and unsupervised classification.
5. Which spectral bands are used in multi spectral ratio to analyse vegetation.
6. How wavelets are used for Image Compression?

Course Outcomes (CO3/ CO4 /CO5)


1. Given the DN values of four neighboring pixels, find the DN of the resampled pixel at X
using bilinear resampling:

2. The following table shows the histogram of a poor contrast grey scale Image:

Modify the same image as a high contrast one.


3. The following table gives the number of pixels at each of the grey levels in an image with
those grey values only:

Draw the histogram corresponding to these grey levels, and then perform histogram
equalization and draw the resulting histogram.
4. Determine the Fourier transform of a 5 X 5 image f(x,y) with constant matrix f(x,y)=1.
5.Classify the following image into 3 classes using K- means clustering.

Concept Map

93
TCE - M.E. Degree (Communication Systems)

Syllabus
Remote Sensing Process: Definition, Remote sensing process, Radiation principles,
Spectral reflectance curve, EMR interactions with-atmosphere-earth surface features.
Satellite Data: Satellite Image Characteristics, Resolution types, Preprocessing- Geometric
Correction, Radiometric Correction, Satellite Image Enhancement: Radiometric
Enhancement- Histogram Based Enhancements, Density Slicing, Stretching, Geometric
Enhancement- Neighborhood Operations, Template Operators, Data Transformation:
Spectral Transforms - Multispectral Ratios - Vegetation Indexes, Principal Components,
Tasseled-Cap Components, Color-Space Transforms, Spatial Transforms – Convolution,
Fourier Transform, Scale Space Transforms, Image Analysis And Understanding: Feature
Extraction- Statistical, Structural, Spectral, Training –Supervised, Unsupervised, Hybrid
Training, Data Fusion: Feature Space fusion, Spatial domain fusion, Scale space fusion,
Data Compression: Compression by coding, Fractal Compression, Wavelet Compression.

Reference Books
1. Thomas M.Lillesand, Ralph W.Kiefer, “Remote Sensing and Image Interpretation”,
Fifth Edition, 2004.
2. Robert A. Schowengerdt, Remote Sensing Models & Methods For Image Processing,
III Edition, 2004.
1. J. A. Richards “Remote Sensing Digital Image Analysis: An Introduction”, Second
Revised Edition, 1993.
2. John R. Jensen, “Remote Sensing Of The Environment – An Earth Resource
Perspective”, Pearson Education Series, 2003.
3. Rafael C.Gonzalez, Richard E.Woods, “Digital Image Processing” (3 rd Edition),
Prentice Hall, 2007.

Course Contents and Lecture Schedule

No. of
No. Topic
Lectures
1. Remote sensing
1.1 Remote sensing process, Radiation principles 1
1.2 Spectral reflectance curve 1
1.3 EMR interactions with-atmosphere-earth surface features 2
2. Satellite Data

94
TCE - M.E. Degree (Communication Systems)

No. of
No. Topic
Lectures
2.1 Satellite Image Characteristics 1
2.2 Geometric Correction 1
2.3 Radiometric Correction 1
3. Satellite Image Enhancement
3.1 Radiometric Enhancement 1
3.1.1 Histogram Based Enhancements, Density slicing 1
3.1.2 Stretching 1
3.2 Geometric Enhancement 1
3.2.1 Neighborhood Operations, Template operators 1
4. Data Transformation
4.1 Spectral Transforms 1
4.1.1 Multispectral Ratios 1
4.1.2 Vegetation Indexes 1
4.1.3 Principal Components 1
4.1.4 Tasseled-Cap Components 1
4.2 Spatial Transforms 1
4.2.1 Convolution 2
4.2.2 Fourier Transform 1
4.2.3 Scale Space Transforms 1
5. Image Analysis And Understanding
5.1 Feature Extraction 1
5.1.1 Statistical 2
5.1.2 Structural 1
5.1.3 Spectral 2
5.2 Training 2
5.2.1 Supervised 2
5.2.2 Unsupervised 2
5.2.3 Hybrid Training 1
6. Data Fusion
6.1 Feature Space fusion 1
6.2 Spatial domain fusion 1
6.3 Scale space fusion 2
7. Data Compression
7.1 Compression by coding 1
7.2 Fractal Compression 1
7.3 Wavelet Compression 2

Course Designers:
1. Dr.R.A.Alagu Raja [email protected]
2. Dr. B.Sathya Bama [email protected]

95
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPH0 WIRELESS NETWORK SECURITY
PE 3 1 0 4

Preamble
This course presents the security of wireless communication systems and design of
information and electronic warfare model relative to security. The network security model
includes intrusion protection and detection, host based security technologies and
techniques, securing LAN, VPN and issues involve in collecting and analyzing secure data
from multiple sources.

Prerequisite
Nil
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Understand why wireless is different from its wired counterpart. Understand
CO2. Design a secure process and practice the information security model Design
CO3. Assess wireless security model and to setup a secure wireless system. Evaluate
CO4. Apply security in point to point and end to end in wireless applications Apply

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S S M M M - - M M M M
CO2 S S S S M S M M M L M
CO3 S S M S M M L L M L L
CO4 S S M S M M - - M L L
S- Strong; M-Medium; L-Low

Assessment Pattern
Continuous Assessment Tests
Bloom‟s Category Terminal Examination
1 2 3
Remember 30 30 20 20
Understand 30 30 20 20
Apply 20 20 40 40
Analyse 20 20 20 20
Evaluate 0 0 0 0
Create 0 0 0 0

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. Why the wireless devices are less secured than their wired counterparts.
2. Mention the factors that should be included to recognize the secure mobile devices
3. Define the term man in Middle attack? Give an example
4. List some ways to prevent the spread of computer viruses
5. State the fundamental idea behind SAW filters
6. Bring out the role of NAT in firewalls

96
TCE - M.E. Degree (Communication Systems)

Course Outcome 2 (CO2):


8. In what ways the temporal attack is different from content attack
9. Enlist the taxonomy of communication systems related to the various models of
communication devices
10. State the importance of elliptic curve encryption algorithm
11. Using vigenere scheme with 27 characters in which the 27th character is the space
but with a one time key. The encrypted message is 20 5 21 3.If the encryption key is
5 which decrypts the message.
12. Write the principle behind Host based security
13. Mention the principal requirements for providing E2E security in wireless
applications. Give suitable examples

Course Outcome 3 (CO3):


1. Perform encryption and decryption using RSA algorithm for the following
p=3, q=11, e=7 and message m=5.
2. Consider Diffie- Hellman algorithm with a common prime q=11, primitive root a=2.
a) If user A has public key YA=9, what is A‟s private key.
b) If user B has public key YB=3, what is B‟s private key.
Encrypt the message “meet me at the usual place” using the Hill cipher with the key
9 4
  show your calculations and results
5 7

Course Outcome 4 (CO4):


1. Summarize the method which can be used to extend the resources of a private
network across an un-trusted network
2. How will you check file integrity in order to detect modifications to host operating
systems
3. Show how the most devasting attacks in a wireless system that involve the
cryptographic security and also discuss its key management with a typical example.

Concept Map

97
TCE - M.E. Degree (Communication Systems)

Syllabus

Wireless Information warfare: Protecting privacy and means of communication,


taxonomies of wireless communication based on network architecture mobility, model for
cost effective risk management, cryptographic attacks, key management, securing wireless
LANS, Electromagnetic capture threats, wireless threat analysis, securing wireless LAN
countermeasures. Wireless LAN transmission media: WAP security architecture,
BLUETOOTH, wireless access to internet. Cryptographic Security: Classical crypt
analysis, digital cryptography, DES modern cipher breaking, non-keyed message digest,
public key cryptography, Diffie – Hellman and Elliptic curve cryptography, comparison of
public key crypto systems. Network Security Components: Network security model,
network intrusion protection and detection, Host based security, virtual private networking,
event correlation, wireless security components, secure configuration , secure
authentication, encryption, wireless device placement. Integrating Wireless Access into
the network security process: Logging wireless events, policy issues, accessing wireless
network security, change control and device administration, wireless security models, Cisco
implementation with LEAP,, WLAN authentication and key management with radius, wireless
access with IP security, secure wireless public access, secure wireless point to point
connectivity. Hardware perspective for end to end security in wireless application:
Taxonomy of communication systems, protocol sensitive communication security , evolution
towards wireless, hardware and software avenues, encryptor structures in wireless-
interception and vulnerability of wireless systems, communication ESMs and interception
receivers, SAW technology.

Reference Books
1. Randall K. Nichols, Panos C. Lekkas, “Wireless Security Models, Threats and
solutions”. McGrawHill, 2005.
2. Brian Carter, Russel Shumway, “Wireless Security End to End”, CISSPl, 2005.
3. Merrit Maxim, David Pollino, “Wireless Security”, RSA Press, 2005.
4. Cyrus Peikari, Seth Fogie, , “Maximum Wireless Security ”, SAMS, 2005.

Course Contents and Lecture Schedule

Module Topics No. of


No. Lectures
1 Wireless Information warfare
1.1
Protecting privacy and means of communication 1
1.2 taxonomies of wireless communication based on network
1
architecture mobility
1.3 model for cost effective risk management using decision theory 1
cryptographic attacks, securing wireless LANS, Electromagnetic
!.4 capture threats, wireless threat analysis, securing wireless LAN 3
countermeasures.
!.5 Wireless LAN transmission media
WAP security architecture, BLUETOOTH, wireless access to
!.5.1 3
internet.
2 Cryptographic Security:
2.1 Classical crypt analysis, digital cryptography, 2
2.2 DES modern cipher breaking 2
2.3 non-keyed message digest, public key cryptography 2

98
TCE - M.E. Degree (Communication Systems)

2.4 Diffie – Hellman and Elliptic curve cryptography 2


2.5 Comparison of public key crypto systems. 1
3 Network Security Components:
3.1 Network security model 1
3.2 network intrusion protection and detection 1
3.3 Host based security, 1
3.4 virtual private networking, event correlation, 1
3.5 Wireless security components, secure configuration, secure 1
authentication, encryption, wireless device placement.
4 Integrating Wireless Access into the network security
process:
4.1 Logging wireless events, policy issues 1
4.2 Accessing wireless network security 1
4.3 Change control and device administration
4.4 Wireless security models, Cisco implementation with LEAP, 1
4.5 WLAN authentication and key management with radius, 2
4.6 Wireless access with IP security, secure wireless public access, 3
secure wireless point to point connectivity.
5 Hardware perspective for end to end security in wireless
application:
5.1 Taxonomy of communication systems 1
5.2 protocol sensitive communication security 1
5.3 evolution towards wireless, hardware and software avenues 2
5.4 encryptor structures in wireless- interception and vulnerability of 2
wireless systems
5.5 Communication ESMs and interception receivers, SAW 2
technology.
Course Designers:
1. Dr.R.Sukanesh [email protected]
2. Dr.M.Suganthi [email protected]
3. Dr.T.Aruna [email protected]

99
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPI0 MIMO OFDM SYSTEMS
PE 3 1 0 4

Preamble
High data rate wireless systems with very small symbol periods usually face unacceptable
Inter-symbol interference (ISI) originated from multipath propagation and inherent delay
spread. Orthogonal frequency division multiplexing (OFDM) is a multicarrier based technique
for mitigating ISI to improve capacity in the wireless system with spectral efficiency. On the
other hand, MIMO systems have rising attention of the wireless academic community and
industry because their promise to increase the capacity and performance with acceptable bit
error rate (BER) proportionally with the number of antennas. MIMO OFDM is an attractive air
interface solution for next generation wireless local area networks and wireless metropolitan
area networks and fourth generation mobile cellular wireless systems.
Prerequisite
Nil
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Describe the concepts of MIMO OFDM Wireless communication Understand


systems.
CO2. Determine the capacity and bit error rate of MIMO OFDM system for Apply
a given power delay profile of the MIMO channel.
CO3. Obtain Impulse response coefficients from power delay profile of the Apply
SISO,SIMO,MISO and MIMO channels and estimate the channel
impulse response using least square, MMSE and Robust MMSE
estimation algorithms.
CO4. Estimate and correct the timing and frequency offset in the signal Apply
received in the MIMO OFDM receivers.
CO5. Analyze the performance of MIMO OFDM physical channel in Wi- Apply
Max/LTE wireless standards.

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - - - - - -
CO2 S - - - L - - L - - -
CO3 S - L - M - - L - - -
CO4 S - L L M - - L - - -
CO5 L M M M M - - L - L -
S- Strong; M-Medium; L-Low

100
TCE - M.E. Degree (Communication Systems)

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 20 10 10 10
Understand 20 10 10 10
Apply 60 80 80 80
Analyse 0 0 0 0
Evaluate 0 0 0 0
Create 0 0 0 0

Course Level Assessment Questions


Course Outcome 1 (CO1):
1. Define Doppler spread.
2. Draw the block diagram of OFDM communication system.
3. Define spatial multiplexing.
4. Define null steering and optimal beamforming.
5. What are the gains available in MIMO systems?
6. Write the motivation behind using MIMO OFDM systems?
7. Distinguish between flat fading and frequency selective fading.
8. How complexity of MIMO OFDM spatial multiplexing receivers is reduced?
Course Outcome 2 (CO2):
1. In which systems, channel reciprocity becomes useful information.
2. Determine the channel capacity of SISO and SIMO systems.
3. Determine the channel capacity of MIMO system when CSI is known to the
transmitter side and when CSI is not available at transmitter side.
4. Compare the detection performance of ZF and MMSE signal detection techniques in
MIMO system.
5. Assume that two-branch diversity with BPSK modulation is used to
transmit digital data. The received signals through the two diversity
branches are given by, y i   hi x  ni
i  1,2 where y i is the received signal,
x is the transmitted signal (where x   with equal probability), and n i is a
zero mean (white) Gaussian noise with variance 1/2. Assume that the joint probability
mass function of h1 and h2 is given by,
0.1 if h1  h2  1,
0.1 if h1  1, h2  2,

p h1 ,h2 h1 , h2   
0.1 if h1  2, h2  1,

0.7 if h1  2, h2  2
a. What is the probability of bit error if maximal ratio combining is used at the
receiver?
b. What is the probability of bit error if selection combining is used?
Course Outcome 3 (CO3):
1. Write a program to simulate SCM channel model.
2. Distinguish between block type and comb type pilot structures used for channel
estimation.
3. A scattering function for a fading channel is given by S(τ, λ) = 1 if 0 ≤ τ ≤50 μs and |λ|
< 5 Hz, and it is zero otherwise.
c. Determine the mulltipath intensity profile of the channel. What is its Doppler
power spectrum?.
d. What are the multipath delay spread, Doppler spread, coherence time and
coherence bandwidth of the channel?

101
TCE - M.E. Degree (Communication Systems)

e. Can we design a digital communication system such that this channel can be
viewed as a slow frequency flat fading channel? If so, what should the symbol
period be selected as?
4. Consider a deterministic MIMO channel (with AWGN) described by
 j 1 j 1  0.5
 0.3 0.4  0.1 j 1 j j 

H   0.2 j 0  0.5  0.5 j 1 
 
 1 j 1 1 
 j 0.6  0.5 j 2  1 
Using the singular value decomposition, determine the equivalent representation
with parallel channels.
5. Consider a fixed physical environment and a corresponding flat fading MIMO
channel. Now suppose, we double the transmit power constraint and the bandwidth.
Argue that the capacity of the MIMO channel with receiver CSI exactly doubles.
This scaling is consistent with that in the single antenna AWGN channel.
Course Outcome 4 (CO4):
1. Define inter symbol interference.
2. Analyze the effects of symbol time offset(STO) in OFDM systems.
3. Analyze the effects of integer frequency offset and fractional frequency in OFDM
systems.
4. Discuss the synchronization technique using cyclic prefix in OFDM systems.
5. Compare the time domain and frequency domain synchronization techniques used in
OFDM systems.
Course Outcome 5 (CO5):
1. Compare the frame structure of WiMAX and LTE standards.
2. Discuss the overview of basic system architecture configuration of LTE.
3. Design a MIMO-OFDM system that achieves an overall rate of 3 Mbps over a
bandwidth of 200 kHz. Assume that Nt = 2, multipath spread Tm = 1 ms and
Doppler spread BD = 10 Hz. Specify the OFDM symbol duration, the
number of subcarriers, the length of cyclic prefix, and the modulation
scheme used.

Concept Map

102
TCE - M.E. Degree (Communication Systems)

Syllabus

Sampled Signal Model: Signal model for SISO, SIMO , MISO and MIMO Multipath
Fading Channel Models: ITU Channel Models, 3GPPP Channel Models , Extended ITU
Models, Spatial Channel Model , SCM Extension Channel Model, WINNER Channel Model
Capacity Analysis: Capacity in Frequency Flat Fading channel , Capacity in Frequency
Selective Fading Channel Bit Error Rate Analysis: BER Analysis for Space Time Coding,
Transmit Beamforming , Receiver Selection Combining, Receiver Equal Combining,
Receiver Maximal Ratio Combining Channel Estimation : LS Estimation, MMSE
Estimation, Robust MMSE Estimation Timing & Frequency Synchronization : Coarse
Time Synchronization, Fine Time Synchronization, Coarse Frequency Synchronization, Fine
Frequency Synchronization Wireless Standards: 3GPP LTE System, WiMAX

Reference Books

1. A. Paulraj, R. Nabar and D Gore, “Introduction to Space-Time Wireless


Communications”, Cambridge University Press, 2003.
2. D.Tse and P. Viswanath, “Fundamentals of Wireless Communications”, Cambridge
University Press, 2005 (First Asian Edition, 2006)
3. Y.S.Cho, J.Kim, Won Young Yang, Chung G. Kang, “ MIMO OFDM Wireless
Communications with MATLAB” John Wiley & sons(Asia) private Ltd, 2010
4. L. Hanzo, Y.A. Li Wang, M. Jiang “MIMO-OFDM for LTE, Wi-Fi and WiMAX ”, John
Wiley & Sons Ltd, 2011
5. T.M. Duman, A. Ghrayeb “Coding for MIMO Communication Systems” John Wiley &
Sons Ltd, 2007,
6. E. Biglieri, R. Calderbank, A. Constantinides, A. Goldsmith, A. Paulraj, “MIMO Wireless
communications” Cambridge University press, 2007
7. Erik. G. Larsson, “ Space Time Block Coding for Wireless Communications”, Cambridge
University Press, 2003

Course Contents and Lecture Schedule

Module Topic No of lectures


No.
1. Sampled Signal Model: Signal model for SISO, SIMO 2
2. Signal model for MISO, MIMO 2
3. Multipath Fading Channel Models: 2
SISO & SIMO Channel Models - ITU Channel Models
4. 3GPPP Channel Models, Extended ITU Models 2
5. MISO & MIMO Channel Models – Spatial Channel Model, 2
SCM Extension Channel Model
6. WINNER Channel Model 1
7. Capacity Analysis: Capacity in Frequency Flat Fading 3
channel
8. Capacity in Frequency Selective Fading channel 3
9. Bit Error Rate Analysis: BER Analysis for Space Time 4
Coding, Transmit Beamforming
10. Receiver Selection Combining, Receiver Equal Combining 3
11. Receiver Maximal Ratio Combining 2
12. Channel Estimation : LS Estimation 2
13. MMSE Estimation 3
14. Robust MMSE Estimation 2
15. Timing & Frequency Synchronization : Coarse Time 4

103
TCE - M.E. Degree (Communication Systems)

Synchronization, Fine Time Synchronization


16. Coarse Frequency Synchronization, Fine Frequency 4
Synchronization
17. Wireless Standards: 3GPP LTE System 2
18. WiMAX 2
Total 45

Course Designers:

1. Dr.S.J. Thiruvengadam [email protected]


2. Mrs.K.Rajeswari [email protected]

104
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPJ0 PHYSICAL LAYER LTE SYSTEMS
PE 3 1 0 4

Preamble
The course on the physical layer Long Term Evolution (LTE) systems is offered as an
elective course in continuation with the course on „WT21 Space Time Wireless
Communications”. LTE is a standard for wireless communication of high-speed data for
mobile phones and data terminals. The goal of LTE was to increase the capacity and speed
of wireless data networks using new digital signal processing techniques and modulations
that were developed around the turn of the millennium. While the first mobile
communications standards focused primarily on voice communication, the emphasis now
has returned to the provision of systems optimized for data. This trend began with the 3rd
Generation Wideband Code Division Multiple Access (WCDMA) system designed in the
Third Generation Partnership Project (3GPP), and is now reaching fulfilment in its successor,
known as LTE. LTE is the first cellular communication system optimized from the outset to
support packet-switched data services, within which packetised voice communications are
just one part. The objective of this course is to present the techniques for the design of
physical layer LTE systems and determine its performance.

Prerequisite
Nil

Course Outcomes
On the successful completion of the course, students will be able to

CO1. Describe the FDD and TDD frame formats, physical signals and Understand
channels of downlink and uplink LTE systems.
CO2. Carry out the cell search using synchronization signals in LTE downlink Apply
and determine the channel frequency response using reference signals
in downlink and uplink of LTE systems.
CO3. Characterize the modulation schemes such as OFDM,OFDMA and Apply
SC-FDMA schemes and describe the single user and multi user
modulation techniques in LTE downlink and uplink systems.
CO4. Determine the bit error rate and outage probability performances of Apply
LTE downlink and uplink channels.
CO5. Characterize the downlink and uplink physical layer design in LTE. Apply

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - - - - L -
CO2 S L M M M L L M - - -
CO3 S - - - M - L M - L -
CO4 S - - - M - L M - - -
CO5 L M M M M M L M L L L
S- Strong; M-Medium; L-Low

105
TCE - M.E. Degree (Communication Systems)

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 20 20 20 20
Understand 20 20 20 20
Apply 60 60 60 60
Analyse 0 0 0 0
Evaluate 0 0 0 0
Create 0 0 0 0

Course Level Assessment Questions


Course Outcome 1 (CO1):
1. List the physical control channels in LTE downlink systems
2. List out the features of downlink LTE System.
3. Define cyclic delay diversity.
4. Distinguish between physical signals and physical channels in LTE systems.
5. Draw the block diagram of LTE downlink channel processing
6. Draw the block diagram of LTE uplink channel processing

Course Outcome 2 (CO2):


a. Name the signals transmitted on each downlink component carrier for cell search and
define their structure.
b. Describe the basic cell-search procedure used in LTE.
c. Obtain the shift register implementation of scrambling sequence generator using the
polynomial g x   1  x  x 3
d. Explain how reference signals used for channel estimation are generated in LTE.

Course Outcome 3 (CO3):


1. Distinguish between OFDM and SC-FDMA
2. What is DFT spread OFDM system? How does it relate to SC-FDMA?
3. Distinguish between single user and multiuser MIMO techniques.
4. List the modulation schemes used for PUSCH.
5. Compute and compare the autocorrelation amplitudes for PN sequence and Zadoff-Chu
sequence at a zero time lag.
6. Compute the autocorrelation profile of Zadoff-Chu sequence assuming that the
frequency offset is 7.5 kHz and the root indexes are 25, 29 and 34.

Course Outcome 4 (CO4):


1. Compute PRACH sub-carrier spacing for 800 s interval.
2. Determine the pairwise probability of PCFICH channel assuming that CFI can take
values between 1 and 4.
3. Construct convolutional encoder used in LTE with m=6,n=3,k=1 and rate 1/3 for the
generator polynomials g o  1 0 11 0 11, g1  1111 0 0 1, g 2  111 0 1 0 1
4. Determine the bit error rate performance of LTE downlink PUSCH channel.
5. Determine the bit error rate performance of LTE uplink PUSCH channel.

Course Outcome 5 (CO5)


1. Design a transceiver for Physical Control Format Indicator Channel (PCFICH).
2. Design a transceiver for Physical Hybrid ARQ Indicator Channel (PHICH).
3. Design a transceiver for Physical Downlink Control Channel (PDCCH).

106
TCE - M.E. Degree (Communication Systems)

Concept Map

Syllabus

Frame structure: Frequency Division Duplexing, Time Division Duplexing Downlink


Physical signals: Synchronization signals, Cell Search, Reference signals: Frequency
Domain, Time domain and Spatial Domain channel estimations, Downlink Physical
channels: Data channels-PBCH,PDSCH,PMCH, Control channels: PCFICH, PDCCH,
PHICH, Downlink channel processing, BER and Outage probability, Multiple Antenna
Techniques: Single user systems: Space Frequency Block coding, Cyclic Delay Diversity,
Spatial Multiplexing, Multi user systems: Space Division Multiple Access(SDMA) using
precoding, Modulation Techniques: OFDM for downlink, SC-FDMA for uplink, Uplink
Physical signals: Demodulation Reference signals, channel Estimation, Sounding
Reference signals, Channel Quality Determination, Uplink Physical channels:
PUCCH,PRACH, PUSCH, Uplink channel processing, BER and Outage probability

Reference Books

1. 3GPP TS 36.211: "Evolved Universal Terrestrial Radio Access (E-UTRA); Physical


channels and modulation", 2011
2. 3GPP TS 36.212: "Evolved Universal Terrestrial Radio Access (E-UTRA); Multiplexing
and channel coding". 2011
3. 3GPP TS 36.213: "Evolved Universal Terrestrial Radio Access (E-UTRA); Physical layer
procedures". 2011
4. Stefania Sesia, Issam Toufik, Matthew Baker, “LTE-The UMTS Long Term Evolution
From theory to practice, John Wiley & Sons Ltd., 2009.
5. David Tse and Pramod Viswanath, “Fundamentals of Wireless Communications”,
Cambridge University Press, 2005 (First Asian Edition, 2006)
6. Andrea Goldsmith, “ Wireless Communications”, Cambridge University Press, 2005
7. A.Paulraj, R. Nabar and D Gore, “Introduction to Space-Time Wireless
Communications”, Cambridge University Press, 2003.

107
TCE - M.E. Degree (Communication Systems)

Course Contents and Lecture Schedule

Module No. of
Topic
No. Lectures
1 Frame structure
1.1 Frequency Division Duplexing 1
1.2 Time Division Duplexing 1
2 Downlink Physical signals:
2.1 Synchronization signals 1
2.1.1 Cell Search 2
2.2 Reference signals 1
2.2.1 Frequency Domain channel estimation 2
2.2.2 Time domain channel estimation 1
2.2.3 Spatial domain channel estimation 1
3 Downlink Physical channels
3.1 Data channels 1
3.1.1 PBCH – Physical Broadcast Channel 1
3.1.2 PDSCH – Physical Downlink Shared Channel 1
3.1.3 PMCH – Physical Multicast Channel 1
3.2 Control channels 1
3.2.1 PCFICH – Physical Control Format Indicator Channel 1
3.2.2 PDCCH – Physical Downlink Control Channel 1
3.2.3 PHICH – Physical Hybrid ARQ Channel 1
3.3 Downlink channel processing 1
3.4 BER Analysis of Downlink physical Channels 2
3.5 Outage probability Analysis of Downlink Physical Channels 2
4 Multiple Antenna Techniques:
4.1 Single user systems: 1
4.1.1 Space Frequency Block coding 2
4.1.2 Cyclic Delay Diversity 1
4.1.3 Spatial Multiplexing 1
4.2 Multi user systems: 1
4.2.1 Space Division Multiple Access(SDMA) using precoding 2
5 Modulation Techniques
5.1 OFDM 2
5.2 SC-FDMA 2
6 Uplink Physical signals
6.1 Demodulation Reference signals 1
6.1.1 channel Estimation 1
6.2 Sounding Reference signals 1
6.2.1 Channel Quality Determination 1
7 Uplink Physical channels:
7.1 PUCCH – Physical Uplink Control Channel 2
7.2 PRACH – Physical Random Access Channel 1
7.3 PUSCH – Physical Uplink Shared Channel 1
7.4 Uplink channel processing 1
7.5 BER Analysis of Uplink physical Channels 2
7.6 Outage probability Analysis of Uplink Physical Channels 2
Total 48

Course Designers:
1. Dr.S.J. Thiruvengadam [email protected]
2. Dr.G. Ananthi [email protected]

108
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPK0 RF MEMS
PE 3 1 0 4

Preamble
The all pervasive use of wireless systems requires modules with ever increasing
functionality, compactness and reduced power consumption. The performance of current RF
(Radio Frequency) systems can be enhanced by replacing critical components by their
micromechanical counterparts, MEMS (Micro Electro Mechanical Systems). This is a strong
drive for developing RF MEMS units. The course will start by giving an overview of typical
features of RF and wireless systems and describe central steps in MEMS micro machining.
The functionality, modeling and implementation issues of central RF MEMS components are
described. This comprises transmission lines, phase shifters, switches, capacitances, and
inductors implemented by micromechanics. Special weight is laid on mechanical vibrating
resonators and their use as filters. Also discusses conceptually the need for micromachining
of antennas. The course concludes by giving a short overview of packaging and the usage of
the MEMSCAD tools such as Intellisuite and Coventoreware.

Prerequisite
Nil
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Understand the Concept of miniaturization and the need of MEMS in Remember
various applications
CO2. Understand the concepts of various actuation mechanisms of MEMS Understand
CO3. Know the fundamental and technological possibilities and constraints Apply
when designing and implementing RF MEMS subsystems.
CO4. Understand Micro fabrication techniques Undersatnd
CO5. Utilization of RF MEMS CAD software Apply

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - - - - - -
CO2 S - M - - - - - - - -
CO3 S - M - - - - - - - -
CO4 S - - - - L - M - - -
CO5 M - - - S - M M - - -
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 20 20 20 20
Understand 20 20 20 20
Apply 60 60 50 50
Analyse 0 0 10 10
Evaluate 0 0 0 0
Create 0 0 0 0

109
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. Compare Semiconductor and MEMS Switches.
2. Mention some MEMS softwares.
3. What is the role of magnetic core in the design of inductors?
4. How dielectric tunable capacitors are realized?
5. Define elasticity law.

Course Outcome 2 (CO2):


1. Tabulate the direct analogy of electrical and mechanical domains.
2. Why micromachining is essential for transmission lines?
3. Write down the applications of MEMS phase shifters.
4. Mention any two micromachining technique to improve antenna performance.
5. Classify MEMS packages.

Course Outcome 3 (CO3):


7. Design a RF MEMS shunt switch with an equivalent circuit approach operating at a
frequency of 40 GHz.
8. Determine the Fragg frequency and the phase shift per unit length of a DMTL phase
shifter at a frequency of 10 GHZ.

Course Outcome 4 (CO4):


1. Compare and contrast MEMS fabrication process flow with Conventional Integrated
circuit process flow.
2. Tabulate and compare the performance parameters of a RF switch with MEMS
Switches.

Course Outcome 5 (CO5):


1. i) Applying the concepts of direct analogy between electrical and mechanical domains
Convert the mechanical model of a RF MEMS shunt switch to electrical model.
ii) Derive the expression for pull down voltage of a switch.

Concept Map

110
TCE - M.E. Degree (Communication Systems)

Syllabus

RF MEMS: Introduction to RF MEMS: Application in wireless communications, space and


defense applications, Benefits of Miniaturization and Scaling, RF MEMS in industry and
academia, Actuation Mechanisms in MEMS: Piezoelectric, Electrostatic, Thermal,
Magnetic, RF MEMS Components: Case study 1:MEMS Switch, Example of RF MEMS
switches and applications, Mechanical design, Electromagnetic modeling (Capacitance,
Loss, Isolation), Current research Case Study 2: Tunable Capacitors and Inductors,
Example of tunable capacitors and inductors and their applications in circuits, Effect of
inductor layout, reduction of stray capacitance of planar inductor , Approaches for improving
quality factor, Polymer based inductors, MEMS gap tuning, area tuning and dielectric tuning
capacitors, Case study 3: Micromachined phase shifters and Transmission lines: Types
of phase shifters and their limitations, MEMS phase shifters: Switched delay line phase
shifters, Distributed phase shifters, Polymer based phase shifters, Losses in transmission
lines , Micro shield and membrane supported transmission lines Case study 4:
Micromachined antennas: Overview of microstrip antennas, Micromachining techniques to
improve antenna performance, micro machined reconfigurable antennas, Micro fabrication
Techniques: Materials Properties, Bulk and surface micromachining, Wet and dry etching
Thin-film depositions (LPCVD, Sputtering, Evaporation), other techniques (LIGA,
Electroplating),Packaging of RF MEMS : Role of MEMS packaging, Types of MEMS
Packages, Reliability issues of MEMS packaging. Computer aided design of MEMS:
Introduction to Commercial packages, Introduction and usage of Intellisuite and
Coventorware, RF MEMS Switch simulation using Intellisuite.

Reference Books

1. Vijay K Varadhan, K.J.Vinoy, “RF MEMS and their Applications”, John Wiley & Sons,
2003.
2. G.K.Anantha Suresh, K.J.Vinoy, K.N.Bhatt, V.K.Aatre, “Micro and Smart systems”, John
Wiley & Sons, 2010.

Course Contents and Lecture Schedule

Module Topic No. of Lectures


No.
RF MEMS
1 Introduction to RF MEMS:
1.1 Application in wireless communications, space and defense 1
applications
1.2 Benefits of Miniaturization and Scaling, MEMS road map 1
1.3 RF MEMS in industry and academia 1
2 Actuation Mechanisms in MEMS 1
2.1 Piezoelectric, Electrostatic 1
2.2 Thermal, Magnetic 1
3 RF MEMS Components
3.1 Case study 1: RF MEMS Switches
3.1.1 Example of RF MEMS switches and applications 1
3.1.2 Mechanical design 1
3.1.3 Electromagnetic modeling (Capacitance, Loss, Isolation) 3
3.1.4 Current research in MEMS switches 1
3.2 Case study 2: Tunable Capacitors and Inductors
3.2.1 Example of tunable capacitors and inductors and their 2

111
TCE - M.E. Degree (Communication Systems)

applications in circuits
3.2.2 Effect of inductor layout, reduction of stray capacitance of 1
planar inductor
3.2.3 Approaches for improving quality factor, Polymer based 2
inductors
3.2.4 MEMS gap tuning, area tuning and dielectric tuning 2
capacitors
3.3 Case study 3: Micromachined phase shifters and 2
Transmission lines
3.3.1 Micro shield and membrane supported transmission lines 1
3.3.2 Types of phase shifters and their limitations 1
3.3.3 MEMS phase shifters: Switched delay line phase shifters, 3
Distributed phase shifters, Polymer based phase shifters
3.3.4 Losses in transmission lines 1
3.3.5 Micro shield and membrane supported transmission lines 1
3.4 Case study 4: Micromachined Antennas
3.4.1 Overview of microstrip antennas 1
3.4.2 Micromachining techniques to improve antenna 1
performance
3.4.3 Micro machined reconfigurable antennas 1
4 Micro fabrication Techniques: Materials Properties, Bulk 1
and surface micromachining
4.1 Wet and dry etching Thin-film depositions (LPCVD, 1
Sputtering, Evaporation), other techniques (LIGA,
Electroplating)
5 Packaging of RF MEMS: Role of MEMS packaging 1
5.1 Types of MEMS Packages 2
5.2 Reliability issues of MEMS packaging 1
6 Computer aided design of MEMS: Introduction to 3
Commercial packages, Introduction and usage of
Intellisuite and Coventorware
RF MEMS Switch simulation using Intellisuite. 3
Total 46

Course Designers:

4. Dr.S.Kanthamani [email protected]

112
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPL0 VIDEO SURVEILLANCE SYSTEMS
PE 3 1 0 4

Preamble
The purpose of this course is to provide an insight to the fundamental theory and techniques
for efficient representation, processing of video signals and the applications of digital video.
This course covers essential topics including motion analysis and video tracking. This
provides a formal problem formulation for video tracking and typical challenges that make
video tracking difficult. Also it discusses current and emerging applications of video tracking.
Also covers video processing applications on such diverse topics as video surveillance, face
tracking and recognition from video, motion tracking in medical videos, and using video to
assist speech recognition.
Prerequisite
Nil
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Apply motion segmentation and video tracking Apply


CO2. Apply video tracking algorithms for intelligent surveillance and Apply
medical applications
CO3. Analyze different background subtraction techniques for different Analyze
scenario
CO4. Examine the ideas behind intelligent surveillance and medical Apply
applications
CO5. Analyze to choose right sensor for the right job Analyze

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 M M L L M - -- - - L L
CO2 M M L M M M L L - L M
CO3 M M L L M L L L - L L
CO4 M M - M M L M L - M M
CO5 S M L S M M M M M M L
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 20 20 20 20
Understand 40 40 20 20
Apply 40 40 40 40
Analyse 0 0 20 20
Evaluate 0 0 0 0
Create 0 0 0 0

Course Level Assessment Questions

113
TCE - M.E. Degree (Communication Systems)

Course Outcome 1 (CO1):


1. Define auto focus.
2. List the world wide video standards.
3. Define motion compensation.
4. List the main components of video tracking.
5. Define shutter speed.
Course Outcome 2 (CO2):
1. Compare CCD vs CMOS Sensors, Interlaced vs Progressive scan.
2. Discuss about the different color models for video.
3. How cameras are functioning?
4. Compare different type of sensors such as indoor vs outdoor, Thermal vs infrared.
5. How video tracker overcomes the challenges when it track multiple targets?
Course Outcome 3 (CO3):
1. For the following colors in the RGB coordinate, determine their values in the YIQ and
YUV coordinates, respectively.
a. (1,1,1); (b) (0,1,0); (c) (1,1,0); (d) (0, 1,1).
2. How is video tracker applied to medical applications?
3. State different approaches for background subtraction
4. Design and analyze the suitable algorithm for multiple target tracking.
5. Color or feature affects the background subtraction results. Analyze it.
Course Outcome 4 (CO4):
1. Discuss image differencing and background subtraction algorithms for foreground
segmentation.

2. Apply the suitable algorithm for tracking unmanned vehicle.


3. Analyze the video surveillance hardware for different applications.
4. Describe the components of knight multi camera surveillance system.
5. i) Sketch the Exhaustive search block based motion estimation and deformable block
based motion estimation. With EBMA, does the computational requirement depend
the block size? Reason it. Also, how Deformable block matching algorithm is used to
describe affine and bilinear models.

ii) For the following frames, obtain the motion vector for the motion compensated
prediction. Obtain the motion vector for the following four objects. Consider First 8X8
as Frame I and second 8X8 as Frame II.
First Frame Second Frame

Course Outcome 5 (CO5):


1. Design a video tracker for single target tracking and multiple target tracking. How will
you overcome the challenges of a tracker?

114
TCE - M.E. Degree (Communication Systems)

2. How Mubarak shah‟s background subtraction algorithm gives solutions for global
illumination changes, initialization of background model with moving objects present
in the scene and repositioning of static background objects?
3. Demonstrate blob based people count and crowd density estimation is better than
pixel based method? Illustrate the blob selection using shape, size and location?
4. Illustrate the video surveillance issues are formulated for medical and robotics
applications?
5. Obtain the basis vectors and sampling density for the following.

Illustrate how voronoi unit cell is determined by drawing equidistant lines. With neat
sketch discuss sampling and reconstruction system, spatio temporal sampling
structures, multi dimensional sampled signals, frequency domain analysis and
applications of sampling.

Concept Map

Syllabus

Digital video overview –Analog vs Digital, Analog to Digital, World wide Video Standards
(NTSC, PAL, SECAM), Interlaced and Progressive Scan, Resolution, Color models in video-
YUV,YIQ,YCbCr, Motion Analysis- Motion estimation (Pixel based and block matching
based), motion compensation- Digital Video Hardware: How cameras work, Refraction,
optics, F- Stop, Shutter speed, Depth of field, Digital image sensors- CCD vs CMOS,
Manual, auto focus, power requirements, Day and night cameras, Infra red and thermal
technologies, Indoor/ Outdoor cameras, Fixed/PTZ/ Moving cameras, CCTV Motion
Segmentation- Background subtraction, Identifying region of interest in image sequences,
Challenges, background subtraction using color or feature, Pixel level processing, Region

115
TCE - M.E. Degree (Communication Systems)

level Processing. Frame level processing Video Tracking- Design of Video Tracker-
Challenges- Main Components- Single Target Tracking- Multiple Target Tracking- Interactive
vs automated target tracking- Behaviour Analysis of individuals Learning based behavior
analysis- SVM learning- Behaviour analysis of human groups- People count and crowd
density estimation Applications –surveillance- Architecture of Automated video surveillance
system- Components of knight multi camera surveillance system medical applications –
Robotics and unmanned vehicles - Performance Measures- Sensitivity, Specificity,
Precision, Recall- Confusion Matrix

Reference Books
1. Essential Guide to Video Processing by Al Bovik, Academic
Press, 2009
2. Digital Video Surveillance and security by Anthony C Caputo, Elsevier Inc, 2010
3. Video Tracking – Theory and Practice by Emilio Maggio, Andrea Cavallaro, John
Wiley and Sons pvt Ltd, 2011
4. Automated Multi camera Video Surveillance Algorithms and Practice, Omar Javed,
Mubarak Shah, Springer, 2008
5. Intelligent Surveillance Systems by Huihuan Qian, Xinyu Wu, Yangsheng Xu,
Springer, 2011

Course Contents and Lecture Schedule

Module
Topic No. of Lectures
No.
1 Digital video overview –Analog vs Digital, 1
1.1 Analog to Digital, Interlaced and Progressive Scan, 1
Resolution
1.1.1 Color models in video- YUV,YIQ,YCbCr, 1
1.2 Digital Video Hardware, How cameras work 1
1.3 Refraction, optics, F- Stop, Shutter speed, Depth of field 2
1.4 Digital image sensors- CCD vs CMOS, 1
1.5 Manual, auto focus, power requirements 1
1.6 Day and night cameras , Infra red and thermal technologies 1
1.7 Indoor/ Outdoor cameras, Fixed/PTZ/ Moving cameras, CCTV 1
2 World wide Video Standards, (NTSC, PAL, SECAM) 1
3 Motion Analysis- Motion estimation (Pixel based, Block 1
matching based), motion compensation
4. Motion Segmentation- Background subtraction 1
4.1 Identifying region of interest in image sequences 1
4.2 Challenges 1
4.3 background subtraction using color or feature 1
4.4 Pixel level processing 1
4.5 Region level Processing 1
4.6 Frame level processing 1
5 Video Tracking- Design of Video Tracker 1
5.1 Challenges- Main Components 1
5.2 Single Target Tracking 1
5.3 Multiple Target Tracking 1
6 Behaviour Analysis of individuals 2
6.1 Learning based behavior analysis 2
6.2 SVM learning 2
6.3 Behaviour analysis of human groups 2
6.4 People count and crowd density estimation 2

116
TCE - M.E. Degree (Communication Systems)

Module
Topic No. of Lectures
No.
7 Applications 1
7.1 Surveillance and security 1
7.2 Architecture of Automated video surveillance system 2
7.2.1 Components of knight multi camera surveillance system 2
7.3 medical applications 1
7.4 Robotics and unmanned vehicles 2
8 Performance Measures 1
8.1 Sensitivity, Specificity, Precision, Recall 2
8.2 Confusion Matrix 1
Total 46

Course Designers:

1. Dr.B. Yogameena, [email protected]

117
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPM0 NETWORK MANAGEMENT
PE 3 1 0 4

Preamble
Network Management is the discipline which studies the theoretical, practical and
managerial aspects of managing communication networks. The course will enable the
students to familiarize the various aspects of network management: Need for management
of complex networks; monitoring using tools; manager/agent model of remote management;
the Internet management protocols - SMI, MIBs, SNMP, MIB design case studies; TMN
architecture, design and Implementation of NMS tools and platforms.
Prerequisite
NIL
Course Outcomes

On the successful completion of the course, students will be able to


CO1 Classify and analyze the different types of network management Create/Analyze
CO2 Analyze the operation of the different version of SNMP protocol Analyze
CO3 Implement the SNMP protocol through Remote Monitoring(RMON) Create
CO4 Manage the broadband network such as ATM and ADSL Evaluate
technologies
CO5 Configure different network management applications Design

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 M M M M M M M M - - -
CO2 S S M S M M M L - - -
CO3 S L M L L L L L M L -
CO4 S S M L L M L L L M -
CO5 S S S S L L L L L M M
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 30 20 0 0
Understand 40 40 40 40
Apply 30 40 30 30
Analyse 0 0 30 30
Evaluate 0 0 0 0
Create 0 0 0 0

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. What are the standards used for the various layers in an Ethernet based network that is
managed by the Internet management protocol?
2. Describe the ordered list in ASN.1 syntax.

118
TCE - M.E. Degree (Communication Systems)

3. Define the terms MIB and SMI.


4. Describe the function of network mask.
5. Mention the MIBs for TCP and UDP.
6. Identify the OBJECT TYPE for the address of the neighboring gateway from your local
gateway.

Course Outcome 2 (CO2):


1. Identify the top challenges in managing the network.
2. Why do you require an NMS?
3. Distinguish the database of the network management system wit its MIB. How do you
implement each in a network management system?
4. Encode IP address 10.20.30.40 in TLV format.
5. Explain how you would determine whether a device is acting as a host or as a router
using an SNMP command.
6. How would you use one of the standard MIB objects to determine which of the stations?

Course Outcome 3 (CO3):


1. You are given a class B IP address of 145.45.x.y for your network node. As a network
engineer, you are asked to configure your network for 126 subnets.
 How would you configure your address for subnets and hosts?
 What is the maximum number of hosts that each subnet can accommodate?
2. Design Ethernet LAN using 10/100 Mbps switched Ethernet hub to handle the following
the situations: No. of clients = 16 operating at 10Mbps, No. of server = 1, 50% of the
traffic is directed to the server
Draw the configuration and indicate the transmission modes on the ports.
3. Write the object DESCRIPTOR and syntax of the following SNMP managed entities: IP
address, A row in the interface table, The MAC address of the interface card.
4. FDDI is heavily used as a backbone network in a corporate complex.
 Draw a MIB tree for FDDI MIB. Limit your tree to the top five groups.
 Develop a three-column table presenting entity, OID, and brief descriptions of the
groups and the tables under each group.
5. Draw the message sequence diagram for the hub. Assume that a separate get-request
message is sent for each data value.

Course Outcome 4 (CO4):


1. An NMS connected to an Ethernet LAN is monitoring a network of 10000 nodes
comprising routers, hubs, and workstations. It sends an SNMP query to each station
once a minute and receives a response when the stations are up. Assume that an
average frame size is 1000 bytes long for get-request and response messages.
 What is the traffic load on the LAN that has the NMS?
If the Ethernet LAN operates at a maximum efficiency of 40% throughput, what is the
overhead due to network monitoring?
2. As a network engineer in an NOC, how will you use the basic monitoring tools to validate
the problems (if you do not have network monitoring system)
 Customer says that periodically the messages he receives are missing some
characters.
 A customer in Atlanta complains that when she tries to log into the system in New
York, she gets disconnected with a timeout. However, her colleague in her New
York office reports that she is able to access the system.
3. The engineering department of 12 persons in a small corporation is on a regular 10Base-T
Ethernet LAN hub with 16 ports. The busy group started complaining because of the slow
network performance. The network was operating at 50% utilization, whereas 30%
utilization is acceptable. How will you resolve the problem technically?
4. Consider a network of multi vendor components (hubs, routers, etc.,). The network is

119
TCE - M.E. Degree (Communication Systems)

managed by a general purpose NMS.


 Draw a two-tier management network that performs configuration and fault
management.
 Explain the rational for your configuration.
 Compare the requirements if configuration is a three-tier configuration.

Course Outcome 5 (CO5):


1. If you add anew vendor‟s components with its own NMS to an existing network managed
by a different NMS. Identify the sets of functions that you need to do to fulfill your task.
2. Two identical token rings with the same number of stations operate at different
efficiencies. One operates at a higher efficiency than the other. You suspect that this
difference is due to the different frame sizes of the data frames in the two rings.
o Why would you suspect the frame size?
o How would you use RMON to prove your suspicion?
o How would you measure the types and distribution of frames in a token ring
LAN?
3. Communication between two ATM switches is broken in a private ATM network. You
are troubleshooting the problem from a network management station. What M interfaces
would you use?

Concept Map

120
TCE - M.E. Degree (Communication Systems)

Syllabus

Syllabus: Data Communication and Network Management Overview – Analogy of


Telephone Network Management, Data and Telecommunication Network, Case histories of
networking and management, Network Management – Goals, organization and functions
SNMP Management – Basic foundations, standards, models and language SNMPv1 –
Managed network - Case study, Internet organization and standards, SNMP model -
organization, information communication and functional model SNMPv2 - Major changes,
system architecture Structure of management information, Information Modules, definitions
and conventions, Management Information Base RMON – SMI and MIB, RMON1, RMON2,
ATM remote monitoring, case study. Broadband Network Management – Networks and
services, ATM technology, ATM network management, ADSL management,
Telecommunication Management Network - TMN conceptual model, standards,
Management service architecture Management tools and applications - Tools, analyzer,
network statistics measurement systems, NMS, system management and network
management applications - configuration management, Fault and performance
management, Security and Report management

Reference Books
1. Mani Subramanin, “Network Management - Principles and Practice”, Pearson Education,
Fourth Edition, 2007.
2. William Stallings, “SNMP, SNMPv2, SNMPv2 and RMON1 and RMON2”,Addison
Wesley, Third Edition, 2004
3. Divakara K.Udupa, “TMN Telecommunications Management Network”, McGraw-Hill,
Fourth Edition,2003.
4. Stephen.B.Morris, “Network Management, MIBs and MPLS: Principles, Design and
Implementation”, Prentice Hall, 2003.
5. Franz-Joachin Kauffels, “Network Management: Problems, Standards, Strategies”
Addison Wesley, Second Edition, 1992
6. S.Paul, “SNMP Network Management”, MGH, 1999.

Course Contents and Lecture Schedule

Module No of
Topics
No. Lectures
1 Data Communication and Network Management Overview
Analogy of Telephone Network Management, Data and
1.1 1
Telecommunication Network
1.2 Case histories of networking and management 1
1.3 Network Management – Goals, organization and functions 1
2 SNMP Management
2.1 Basic foundations, standards, models and language 2
SNMPv1: Managed network-Case study, Internet organization
2.2 2
and standards
2.3 SNMP model – organization and information model 2
2.4 communication and functional model 2
2.5 SNMPv2: Major changes, system architecture 1
Structure of management information, Information Modules,
2.6 2
definitions and conventions
2.8 SNMPv2 Management Information Base 2
3 RMON
3.1 SMI and MIB 2
3.2 RMON1, RMON2 1

121
TCE - M.E. Degree (Communication Systems)

3.3 ATM remote monitoring, case study 2


4 Broadband Network Management
4.1 Networks and services 1
4.2 ATM technology 1
4.3 ATM network management 1
4.4 ADSL management 1
5 Telecommunication Management Network
5.1 TMN conceptual model, standards 1
5.2 Management service architecture 2
6 Management tools and applications
6.1 Tools and analyzer 2
6.2 Network statistics measurement systems 2
6.3 NMS 2
6.3 system management 1
6.4 Applications – configuration management 1
6.4 Fault and performance management 1

Course Designers:

1. Dr.M.S.K. Manikandan [email protected]


2. Mrs.E. Murugavalli [email protected]

122
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPN0 BASEBAND ALGORITHMS ON FPGA
PE 3 1 0 4

Preamble
This course provides the students, the knowledge about implementation of Communication
blocks on FPGA. It provides both the fixed point and floating point representation of data
used for implementation. It considers algorithms and techniques for the optimal way of
implementing the communication system blocks efficiently on FPGA.

Prerequisite
NIL
Course Outcomes

On the successful completion of the course, students will be able to

CO1. Compute nontrivial (transcendental) algebraic functions using Apply


CORDIC algorithm.
CO2. Write a VHDL/VerilogHDL program for FIR Filter using distributed Apply
arithmetic
CO3. Design and implement filter with pipelining and/or parallel Create
processing.
CO4. Explain the different types of FFT algorithms including Cooley- Create
Tukey, Winograd and Good-Thomas .
CO5. Implement FFT algorithms using Hardware Description languages . Synthesis

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S S M L - - -- - - - -
CO2 S S L L - - - - - - -
CO3 S S M L - - - - - - -
CO4 S S M L - - - L - - -
CO5 S M M L - - - - - - -
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 30 20 20 20
Understand 40 30 30 30
Apply 30 40 30 30
Analyse 0 0 0 0
Evaluate 0 0 0 0
Create 0 10 20 20

123
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions

Course Outcome 1 (CO1):


1 List out the sequence of steps involved to design an FPGA
2 Define LNS
3 Mention the advantages of an FPGA to meet the requirements of DSP technology.
4 State Bluestein chirp Z algorithm
5 Define Clock skew
6 State the properties of FIR filter

Course Outcome 2 (CO2):


1 Draw the design flow of FPGA
2 Convert the given decimal number 15 into equivalent optimal CSD
3 Explain the function of pipelined adder with neat diagram
4 Illustrate DA algorithm in VHDL coding
5 Explain in detail about the designing of FIR filter
6 Predict equivalent CSD coding for the decimal number 15.

Course Outcome 3 (CO3):


1 Calculate the number of bits necessary to represent the integer numbers having
range -10 to -5.
2 Compute the number of multiplications and additions required to implement 12 point
FFT using Cooley-Tucky.
3 Calculate the Eigen value and Eigen vectors for the given network
4 Consider the radix-2 9-bit LNS word with two sign-bits, 3 bits for integer precision and
4 bit for fractional precision. Compute the real number for the LNS coding
00011.0010.
5 Find the transfer function of second order IIR filter having poles at 0.5 and 0.25 using
2 pipelined stages by applying scattered Look-ahead method.
6 Consider the quadratic equation x2 ≡ (-1) mod 13 has two roots: j =5 and j=-5 ≡ 8
mod 13. Calculate the multiplication using QRNS of the complex numbers 2+j and
3+j2. Represent in CRNS domain.

Course Outcome 4 (CO4):


1. Design and implement VHDL coding for an universal modulator
2. Construct the signal flow graph of FFT for N=12 using Good-Thomas FFT algorithm
3. Design and Implement FIR filter using signed DA algorithm
4. Design an parallel processing IIR to implement efficiently on FPGA
5. Design and implement viterbi decoder
6. Construct 2 stage pipelined IIR filter having poles at 0.5 and 0.75.

Course Outcome 5 (CO5):


1. Design and implement VHDL coding of FFT for N=12 using Good-Thomas FFT
algorithm
2. Design and implement VHDL code for viterbi decoder

124
TCE - M.E. Degree (Communication Systems)

Concept Map

Syllabus

FPGA Technology : Introduction to FPGA, FPGA Design flow, Progamming languages,


programming technology Basic Building Blocks: Number Representation, Binary adders,
Binary dividers, Floating point arithmetic, MAC & SOP unit Digital filter implementation:
FIR filter, Theory and structure, Filter Design, Constant coefficient, FIR Design IIR filter,
IIR theory, Coefficient computation, Implementation detail, Fast IIR filter Fourier
Transform: DFT algorithms, Goertzel algorithm, Hartley transform, Winograd DFT, Blustein
chirp−z transform, Rader algorithm, FFT algorithms, Cooley-tukey, Good thomas, Winograd
FFT communication blocks: Error control codes, Linear block code, Convolution codes,
Modulation and Demodulation, Adaptive filters, LMS, RLS, Decimator and Interpolator, High
Decimation Rate filters.

Reference Books

1. Uwe.Meyer-Baese, “Digital Signal Processing with Field Programmable Gate Arrays”,


Springer, Third edition, May 2007
2. Keshab K. Parhi, “VLSI Digital Signal Processing systems, Design and implementation”,
Wiley, Inter Science, 1999
3. John G. Proakis, “Digital Communications,” Fourth Ed. McGraw Hill International Edition,
2000.
4. Michael John Sebastian Smith, “ Applications Specific Integrated Circuits”, Pearson
Education, Ninth Indian reprint,13th edition,2004.
5. Sophocles J. Orfanidis, “Introduction to Signal Processing”, Prentice Hall, 1996

Course Contents and Lecture Schedule

Module Topic No. Of


No. Lectures
1. FPGA Technology
1.1 Introduction to FPGA. 1
1.2 FPGA Design flow. 1
1.3 Progamming languages. 1
1.4 programming technology. 1
2 Basic Building Blocks
2.1 Number Representation 1

125
TCE - M.E. Degree (Communication Systems)

2.2 Binary adders 2


2.3 Binary dividers 1
2.4 Floating point arithmetic 3
2.5 MAC & SOP unit 2
3 Digital filter implementation
3.1 FIR filter
3.1.1 Theory and structure. 2
3.1.2 Filter Design. 1
3.1.3 Constant coefficient 2
3.1.4 FIR Design 1
3.2 IIR filter
3.2.1 IIR theory. 1
3.2.2 Coefficient computation. 1
3.2.3 Implementation detail. 1
3.2.4 Fast IIR filter 1
4 Fourier Transform
4.1 DFT algorithms 2
4.1.1 Goertzel algorithm 1
4.1.2 Hartley transform 2
4.1.3 Winograd DFT 1
4.1.4 Blustein chirp−z transform 2
4.1.5 Rader algorithm 1
4.2 FFT algorithms
4.2.1 3.2.1 Cooley-tukey 2
4.2.2 3.2.2 Good thomas 2
4.2.3 3.2.3 Winograd FFT 2
5 Communication blocks
5.1 Error control codes 2
5.1.1 Linear block code 2
5.1.2 Convolution codes 2
5.2 Modulation and Demodulation 1
5.3 Adaptive filters 1
5.3.1 LMS 1
5.3.2 RLS 1
5.4 Decimator and Interpolator 1
5.5 High Decimation Rate filters 1

Course Designers:

1.Dr.S. Rajaram [email protected]


2.Mr.V. Vinoth thyagarajan [email protected]

126
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPO0 RF TEST AND MEASUREMENT
PE 3 1 0 4

Preamble
RF and wireless communication is becoming the standard in everyday devices design. In
addition, the convergence of technologies has increased opportunities and challenges in the
field of RF testing and measurements. The purpose of this course is to expose the students
to the basics of traditional RF measurement techniques applied to RF components, antenna
and Electromagnetic Interference and Compatibility. One of the main competencies that a
present day RF and microwave measurement engineer has to posses is the capability to
understand the RF parameters suitability of RF equipment for respective RF test and
measurements. This course presents the fundamentals of RF and microwave power
measurements, which tends to be timeless, and the modern RF measurement techniques
and test equipment which represents the current state-of-the-art.
Prerequisite
Nil
Course Outcomes

On the successful completion of the course, students will be able to

CO1. Explain the basics of RF measurement and related parameters Remember


associated with the sample such as transmission line, RF components
and EMI/EMC
CO2. Explain the measurement techniques and procedure Understand
CO3. Experience testing of RF components/ systems and measurement of Apply
electromagnetic emission
CO4. Test, analyze and validate the performance of RF components and Analyze
systems
CO5. Understand and analyze the issues with EMI/EMC through RF testing Analyze

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - M - - - - - - - -
CO2 S - M - - - - - - - -
CO3 S - M - - - M L - M -
CO4 M L M - - - M L - - -
CO5 M L M - - - - L - - -
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 30 30 20 20
Understand 40 30 40 40
Apply 30 40 40 40
Analyse 0 0 0 0
Evaluate 0 0 0 0
Create 0 0 0 0

127
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions

Course Outcome 1 (CO1):


1 State the basic principle involved in RF measurement
2 Name some of the standard connectors used in RF testing
3 What are scattering parameters?
4 List some of the power measurement technique.
5 State the effects of environment on cellular phone?
6 State the need for compatibility test?

Course Outcome 2 (CO2):


1. Obtain the S parameter of hybrid coupler.
2. How do you measure Z0 in a printed transmission line fabricated on an ideal
dielectric?
3. Compare network analyzer with spectrum analyzer.
4. What are the mandatory requirements for measuring far field pattern of an antenna?
5. What are the effects of electromagnetic interference?
6. Explain the working principle of Spectrum analyzer.

Course Outcome 3 (CO3):


7. A 50-V signal generator is attached to a signal measurer whose input impedance is
25V. The dial on the signal generator indicates that it is putting out a level of -20
dBm. Determine the voltage at the input to the signal measurer in dBmV.
8. Convert the following dimensions to those indicated: (i) 30 miles to km (ii) 1 ft to mils
(iii) 100 yds to meters (iv) 1 mm to mils, (v) 235 dBm to V (vi) 200A to db
9. The gains of antennas (Tx and Rx) of a microwave link operating at 10GHz are 40db
each. Calculate the path loss for a transmitted power of 10W and a path distance of
80Km.
10. While measuring the gain of a horn antenna, the gain of the oscillator was set for
9GHz frequency and the attenuation inserted was found to be 9.8db. Determine the
gain of the horn antenna provided the distance between the two horns was 35cm.
11. A 50V receiver is attached to an antenna via 200 m of RG58U coaxial cable. The
receiver indicates a level of -20 dBm at 200 MHz. Determine the voltage at the base
of the antenna in dBmV and in V if the cable loss at 200 MHz is 8 dB/100 ft.
6. Compute the reflection loss and absorption loss for a 20-mil steel (SAE 1045)
barrier at 10 kHz, 100 kHz, and 1 MHz for a near-field electric source that is a
distance of 5 cm from the shield

128
TCE - M.E. Degree (Communication Systems)

Concept Map

Syllabus

Introduction: RF Systems and components – Need for Characterization, evaluation and


Certification. RF measurement, Measurement Parameters- S parameters, power. RF
equipment for Measurement: Spectrum Analyzer- Principle, Measurement procedure,
Network Analyzer- Principle, Measurement procedure, Calibration. RF Device
Measurement: S parameters for Devices - transmission lines, coupler, filters, circulators,
resonator, antenna etc. Measurement with Network Analyzer. Antenna Measurement:
Reflection coefficient, Return loss of different antennas, Measurement with Spectrum
and Network Analyzer, Gain Measurement, Radiation pattern measurement in both Indoor
and Anechoic chamber, Test ranges. RF Board Measurement: Filter, coupler
measurement, Amplifier testing, gain, phase noise and Noise margin measurement, Power
measurement. EMF Measurement: Some International Precautionary Exposure Guidelines,
EMF Measurement System, RF Exposure Measurements & Testing, Mobile phone SAR
Measurements. EMI/EMC Measurement: Sources of EMI, conducted and radiated EMI,
transient EMI, EMI- EMC definitions and units of parameters. EMI Coupling Principles:
conducted, radiated and transient coupling, common impedance ground coupling, Common
mode and differential mode coupling, near field cable to cable coupling, power main and
power supply coupling. EMI Units of specifications, Civilian standards & Military standards.
Limits

129
TCE - M.E. Degree (Communication Systems)

Reference Books

1. D. Pozar, “Microwave Engineering”, Wiley, 3rd ed., 2007


2. IET Electrical Measurement Series, “Microwave Measurements” 3rd Edition
3. Agilent‟s, “Fundamentals of RF and Microwave Power Measurements”
4. John D. Kraus, “Antennas for all applications”, Tata McGraw Hill ,2002
5. V.P.Kodali, "Engineering EMC Principles, Measurements and Technologies", IEEE
Press, 1996
6. Clayton R.Paul, “Introduction to Electromagnetic Compatibility” A John Wiley & Sons,
Inc. Publication, 2006
7. http://edocs.soco.agilent.com

Course Contents and Lecture Schedule

Module Topic No. of


No. Lectures
1 Introduction
1.1 RF Systems and components 1
1.2 Need for Characterization, evaluation and Certification. 1
1.3 RF measurement 2
1.4 Measurement Parameters- S parameters 1
1.5 Power measurement 1
2 RF equipment for Measurement
2.1 Spectrum Analyzer- Principle 1
2.2 Measurement procedure 2
2.3 Network Analyzer- Principle 1
2.4 Measurement procedure, Calibration. 2
3 RF Device Measurement
3.1 S parameters for Devices - transmission lines 2
3.2 Coupler, filters Measurement with Network Analyzer. 2
3.3 Circulators, resonator Measurement with Network Analyzer. 1
4 Antenna Measurement
4.1 Return loss Measurement with Spectrum and Network Analyzer, 2
4.2 Gain Measurement 1
4.3 Radiation pattern measurement (Indoor) 2
4.4 Measurement in Anechoic chamber, 2
4.5 Test ranges 1
5 RF Board Measurement
5.1 Filter, coupler measurement 2
5.2 Amplifier testing 2
5.3 Gain, phase noise measurement, 1
5.4 Noise margin measurement 1
5.5 Power measurement 1
6 EMF Measurement
6.1 Some International Precautionary Exposure Guidelines, 2
6.2 EMF Measurement System, 1
6.3 RF Exposure Measurements & Testing 1
6.4 Mobile phone SAR Measurements 2
7 EMI/EMC Measurement
7.1 Sources of EMI, conducted and radiated EMI, 2
7.2 Transient EMI, EMI- EMC definitions and units of parameters. 2
7.3 EMI Coupling Principles: conducted, radiated and transient 2

130
TCE - M.E. Degree (Communication Systems)

coupling,
7.4 common impedance ground coupling, Common mode and 2
differential mode coupling
7.5 near field cable to cable coupling, power main and power supply 1
coupling
7.6 EMI Units of specifications, Civilian standards & Military 1
standards. Limits
Total Number of Hours 48

Course Designers:

1. Dr.B. Manimegalai [email protected]


2. Dr.S. Raju [email protected]

131
TCE - M.E. Degree (Communication Systems)

MEDICAL IMAGING AND Category L T P Credit


14CNPP0
CLASSIFICATION PE 3 1 - 4

Preamble
The purpose of this course is to provide the basic concepts of various medical imaging
modalities and the use of analysis tools for medical image reconstruction. It involves three
different levels. At the lowest level, the course introduces the terminology of medical imaging
and explains how X-ray, CT, MRI and ultrasound images are generated. In the middle level,
it addresses how to select the specific classification methods for extracting meaningful
information from the medical imaging modalities. At highest level, it addresses how the
algorithm is implemented in real life by observing some case studies and applications.
Prerequisite
NIL
Course Outcomes

CO1. Describe the various medical imaging modalities. Understand


CO2. Estimate dose and exposures of x-ray, and observe how these Apply
influence the imaging system usage.
CO3. Analyze about the various reconstruction techniques by solving Analyze
problems.
CO4. Apply the registration techniques and able to choose the selective Apply
classifier with respect to the problem.
CO5. Visualize and analyse the given 3-D images. Analyze

On the successful completion of the course, students will be able to


Mapping with Programme Outcomes
Cos PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S L - L L - -- - - - S
CO2 M M L M L - - - M - M
CO3 M L L M M L M L M M
CO4 M L S M L L M L M M M
CO5 M M L M M L - L L M -
S- Strong; M-Medium; L-Low
Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 20 20 20 20
2 Understand 40 40 20 20
3 Apply 40 40 40 40
4 Analyze 0 0 20 20
5 Evaluate 0 0 0 0
6 Create 0 0 0 0

132
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions

COURSE OUT COME 1(CO1):


1. Explain photoelectric effect
2. How T1-weighted spin echo is generated in MRI.
3. Explain how B-mode images are useful in the display of moving structures
4. Mention the role of Affine transformation in medical imaging
5. Relate volume of interest with region of interest.
6. Differentiate surface rendering with volume rendering.
7.Mention the principal feature of gradient echo pulse sequence.
8.List out the properties of ultrasound waves.
COURSE OUT COME 2(CO2):
1. A narrow beam containing 2000 mono energetic photons is reduced to 1000 photons by
a slab of copper 10−2 m thick. What is the total linear attenuation coefficient of the
copper slab for these photons?
2. What are the total mass (μm), atomic (μa ), and electronic (μe ) attenuation
coefficients of the copper slab described in Example 4-4? Copper has a density of
8.9×103 kg/m3, a gram-atomic mass of 63.6, and an atomic number of 29.
3. An x-ray beam produced at 200 kVp has an HVL of 1.5mmCu. The density of copper is
8900 kg/m3
a. What are the effective linear and mass attenuation coefficients?
b. What is the average effective energy of the beam?
4. What is the length of the Fresnel zone for a 10-mm-diameter, 2-MHz unfocused
ultrasound transducer?
5. What is the estimated focal zone length for a 2-MHz (λ = 0.075 cm) focused ultrasound
transducer with an f-number of 8?
6. An x-ray tube emits 1012 photons per second in a highly collimated beam that strikes a
0.l-mm-thick radiographic screen. For purposes of this example, the beam is assumed to
consist entirely of 40-keV photons. The attenuation coefficient of the screen is 23 m–1,
and the mass energy absorption coefficient of the screen is 5 m−1 for 40-keV photons.
Find the total energy in keV absorbed by the screen during a 0.5-sec exposure.
7. A 210-keV photon is scattered at an angle of 80 degrees during a Compton interaction.
What are the energies of the scattered photon and the Compton electron?
8. Five minutes of fluoroscopy at 4 mA and 100 kVp are to be combined with eight 0.5-
second spot films at 100 kVp and 100 mA. Is the technique permissible according to the
energy rating chart and the anode thermal chart for a Machlett dynamax “25” rotating
anode X-ray tube.
9. Specify the structure and weights of a neural network capable of performing exactly the
same function as a minimum distance classifier for two pattern class in N-dimensional
place.

COURSE OUT COME 3(CO3):


1. Consider the following image:

4 5 6 9

13 14 7 7

15 16 8 4

15 16 8 3

Apply iterative reconstruction method and obtain the resultant image.


2. What is the use of Fourier transform in image reconstruction?
3. What is central slice theorem? Explain

133
TCE - M.E. Degree (Communication Systems)

COURSE OUT COME 4(CO4):


1. Obtain the transformation matrix for the input image with the furnished details as
given here: It is first rescaled by factors 3.7 along x-axis, 4.2 units along y-axis and
0
7.5 units along z-axis, then rotated around the x-axis by 9 around x-axis, 120 around
y-axis and 20 around z-axis and finally translated 5 units along x, 4 units along y
and 7 units along z
2. How various Spatial Transformation models are useful in Image registration?.Explain
3. The Bayes decision functions dj(x)=p(x/ωj)p(ωj), j=1,2,…W, were derived using a 0-1
loss function. Prove that these decision functions minimize the probability of
error.(Hint: The probability of error p(e) is 1-p(c) where p(c) is the probability of being
correct. For pattern vector x belonging to class ωj p(c/x)=p(ωj/x). Find p(c) and show
that p(c) is maximum (p(e) is minimum when p(x/ ωj) p(ωj)is maximum)
4. Design a neural net that classifies a sample as belonging to class 1 if the sample
produces a positive value for D = 34 + 8x1-7x2+x3 and classifies the samples as
belonging to class 0 if the sample produces a negative value for D
5. Write about affine transform in Forensic dentistry

COURSE OUT COME 5(CO5):


1. List out the 3-D imaging operations
2. Write shortly about scene based visualization and object based visualization
3. Explain the necessity of manipulation and analysis in 3D visualization

Concept Map

134
TCE - M.E. Degree (Communication Systems)

Syllabus
Introduction to X-Ray Imaging- Introduction to imaging modalities-X-rays in Diagnostic
imaging-Mammography-Computed tomography systems – Image quality artifacts-
reconstruction techniques. Magnetic Resonance Imaging & Ultrasound Imaging – Basic
principles of nuclear magnetic resonance-Image creation-slice selection-Frequency
encoding, phase encoding- pulse sequence- Transducers- Image characteristics and
artifacts- Ultrasound Imaging- The wave equation- Impedance, power and reflection –
Diagnostic imaging modes- Doppler principles. Image Reconstruction- Image
reconstruction from projections in two dimensions- Mathematical preliminaries for two and
three dimensional image reconstructions-Radon transform-Sinogram- Two dimensional
projection reconstruction-Iterative reconstruction techniques-Fourier reconstruction. Image
Registration & Classification – Introduction - Physical basis of spatial distortions in
Magnetic Resonance imaging- Physical and biological bases of Spatial distortions in
Positron Emission Tomography images- spatial transformation models- Bayesian classifier-
Neural network 3d Visualization – Preprocessing – Scene based visualization- Object
based visualization – Manipulation – Tele medicine: Teleradiology- Telepathology:
Multimedia databases- color images of sufficient resolution: Dynamic range, spatial
resolution, compression methods - case studies for classification: Dental caries detection-
Human identification using Dental images.
Reference Books

1. William.R.Hendee and Russell Ritenour.E. Woods, “Medical Imaging Physics”, A


John Wiley & sons , Inc. publications, 2002
2. Jacob Beutel and M.Sonka, “Handbook of Medical Imaging”, volume 2. “Medical
Image Processing and Analysis” , SPIE press 2000
3. Issac Bankman and I.N.Bankman, “ Handbook of Medical Imaging: Processing and
Analysis”, Academic press,2009
4. Atam.P.Dhawan, “Medical Image Analysis”, John Wiley and Sons ,2011
5. Zang-Hee Cho, Joie P. Jones, Manbir Singh, “Foundations of Medical Imaging”, A
John Wiley & sons , Inc. publications, 1993
6. Krzysztof Iniewski, “Medical Imaging- Principles, Detectors and Electronics”, A John
Wiley & sons , Inc. publications, 2009

Course Contents and Lecture Schedule

No. Topic No. of Lectures


1. X-ray imaging
1.1 Introduction
1
1.2 X-rays in Diagnostic imaging
1.3 Mammography 2
1.4 computed tomography, image quality artifacts 2
2.0 MRI imaging
2.1 Basic principles 1
2.2 Image creation
1
2.3 slice selection
2.4 Frequency encoding
1
2.5 phase encoding
2.6 pulse sequence 1
2.7 Transducers 1
2.8 Image characteristics and artifacts 1
3.0 Ultra sound imaging
3.1 The wave equation 1

135
TCE - M.E. Degree (Communication Systems)

No. Topic No. of Lectures


3.2 Impedance, power and reflection 1
3.3 Diagnostic imaging modes 1
3.4 Doppler principles 1
4.0 Image reconstruction
4.1 Image reconstruction from projections in two dimensions 2
4.2 Mathematical preliminaries for two and three dimensional
1
image reconstructions
4.3 Radon transform 1
4.4 Sinogram 1
4.5 Two dimensional projection reconstruction 1
4.6 Iterative reconstruction techniques, Fourier reconstruction 2
5.0 Image registration
5.1 Introduction 1
5.2 Physical basis of spatial distortions in Magnetic Resonance
1
imaging
5.3 Physical and biological bases of Spatial distortions in Positron
1
Emission Tomography images
5.4 spatial transformation models 2
6.0 Image classification
6.1 Bayesian classifier 2
6.2 Neural network 2
6.3 Case studies on Dental caries detection 1
6.4 Case studies on Human identification using Dental images 1
7.0 3-D Visualization
7.1 Preprocessing 1
7.2 Scene based visualization 1
7.3 Object based visualization 1
7.4 Manipulation 1
8.0 Telemedicine
8.1 Teleradiology 1
8.2 Telepathology 1
8.2.1 Multimedia databases 1
8.2.2 color images of sufficient resolution: Dynamic range, spatial 2
resolution, compression methods
Total Number of Hours 44
Course Designers:
1. Dr.A.Banumathi, [email protected]

136
TCE - M.E. Degree (Communication Systems)

SOFTWARE AND COGNITIVE RADIO Category L T P Credit


14CNPQ0
SYSTEMS PE 3 1 - 4

Preamble
This course presents the state-of-the-art in the field of Software and Cognitive Radio
Systems. The course will enable the students to learn about the architecture, design
methodologies and spectrum sensing techniques used in Software and Cognitive Radio
Systems.
Prerequisite
NIL
Course Outcomes

CO1. To
CO2. expose
Design thethe evolving next
architecture generation
of Software andwireless networks
Cognitive and their
Radio Systems. Apply
Understand
associated challenges, describe the various requirements and
functionalities of software /Cognitive radio.
On the successful completion of the course, students will be able to
CO3. To apply and implement the design methodologies in the wireless Apply
applications
CO4. To demonstrate the impact of the evolved solutions in future Analyze
wireless network design.
CO5. To implement the spectrum sensing techniques in practical Analyze
applications.

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S L - L L - -- - M M M
CO2 M M L M M - - - M - M
CO3 M L L M M L M L M M
CO4 M L S M L L M L M M M
CO5 M M L M M L - L L M -
S- Strong; M-Medium; L-Low

Assessment Pattern
Continuous Assessment Tests End Semester
Bloom’s category Examinations
1 2 3
1 Remember 40 40 20 20
2 Understand 40 40 20 20
3 Apply 20 20 40 40
4 Analyze 0 0 20 20
5 Evaluate 0 0 0 0
6 Create 0 0 0 0

137
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions

COURSE OUTCOME1 (CO1):


1. Define the term quick logic used in SDR design
2. Enlist the design tools available for SDR
3. In what way software reconfiguration relates to SDR?
4. Give the requirements of cognitive radio
5. list out the spectrum access problems in cognitive radio
6. Draw the system model of cognitive radio transmitter.
7. Mention the operating frequency bands & their usage in SDR application.
8. List the specifications &requirements needed for a candidate architecture SDR
9. Relate SDR& cognitive radio
10. Draw the timing diagram of cognitive cycle
11. How does UWB cognitive radio offer better solution
12. Define the term spectrum pooling.

COURSE OUTCOME2 (CO2):


1. Describe the features of Software tunable smart antenna in cognitive systems
2. Design a typical Digital front end for SDR transmitter

COURSE OUTCOME 3 (CO3):


1. With relevant figures explain the how energy detection is implemented in cognitive
radio.
2. With suitable examples explain how Interference is managed in cognitive radio

COURSE OUTCOME 4(CO4):


1. Give the goal of spectrum adaptation. Explain any one spectrum adaptation
technique

COURSE OUTCOME 5(CO5):


1. Write a technical note on cognitive radio based location estimation

Concept Map

138
TCE - M.E. Degree (Communication Systems)

Syllabus
Software Radio: Evolution- architecture perspectives- Software radio concepts-SDR front
end technology: Transmitter specifications- Receiver specifications- operating frequency
bands- receiver design considerations- transmitter design considerations- Candidate
architecture for SDR- Multimode SDR architecture. Cognitive radio: Introduction to
cognitive radios –economics of cognitive radio-spectrum awareness, spectrum subleasing,
spectrum sharing- cognitive networks:- motivation &requirements-foundation &related works
in cognitive radio- cognitive radio implementation. Cognitive radio architecture: SDR
technology underlies cognitive radio- CR architecture- CR components- CR design rules-
cognitive cycle- building cognitive radio on SDR architecture- future directions Software
based radio architecture for Cognitive radio:- SDR & Cognitive relationship, ideal SDR
architecture, realistic SDR architecture. Software tunable analog radio components-antenna
systems-reconfigurable digital radio technologies: economic value model-example scenarios
Dynamic spectrum Access: - Coexistence of dissimilar secondary radio systems-impact of
QoS & interference-codes for dynamic spectrum access- coexistence& access problems in
Cognitive radios-spectrum sensing methods for Cognitive radios- spectrum sensing in
current wireless standards. Cognitive OFDM standards and technologies. 802.11 AD
standard a case study. Cognitive Radio Applications:- Cognitive radios in wireless
communication, Mobility management, location estimation& sensing, UWB Cognitive radio.
Reference Books
1. Ekram Hossain, Dusit Niyato, Zhu Han, “Dynamic Spectrum Access and Management in
Cognitive Radio Networks”, Cambridge University Press, 2009.
2. Kwang-Cheng Chen, Ramjee Prasad, “Cognitive Radio Networks”, John Wiley &Sons
Ltd., 2009.
3. Bruce Fette, “Cognitive Radio Technology - Second Edition”, Elsevier, 2009.
4. Huseyin Arslan, “Cognitive Radio, Software Defined Radio, and Adaptive Wireless
Systems”, Springer, 2007.
5. Francisco Rodrigo Porto Cavalcanti, Soren Andersson “Optimizing Wireless
Communication Systems” Springer, 2009.
6. Linda Doyle, “Essentials of Cognitive Radio”, Cambridge University Press, 2009.
Course Contents and Lecture Schedule

S. No Topics No. of Lectures


1 Software Radio
1.1 Evolution 1
1.2 Architecture perspectives concepts 1
2 SDR Front End Technology
2.1 Transmitter specifications 1
2.2 Receiver specifications 1
2.3 Operating frequency bands 1
2.4 Receiver Design considerations 1
2.5 Transmitter Design considerations 1
2.6 Candidate architecture for SDR 1
2.7 Multimode SDR Architecture 1
3 Cognitive Radio
3.1 Introduction to cognitive radios 1
3.2 Economics of cognitive Radio- spectrum awareness, 2
spectrum subleasing, spectrum sharing
3.3 Cognitive Networks 1
3.3.1 Motivation &Requirements 1
3.3.2 Related works in cognitive radios 1

139
TCE - M.E. Degree (Communication Systems)

3.3.3 Cognitive Radio implementation. 1


4 Cognitive Radio Architecture
4.1 SDR technology underlies cognitive radio 1
4.2 CR Architecture- components, design rules, cognitive cycle 2
4.3 Building Cognitive Radio on SDR architecture 1
4.4 Future directions 1
5 Software Based Radio Architecture for Cognitive Radio
5.1 SDR & Cognitive relationship 1
5.2 Ideal SDR architecture, realistic SDR architecture. 1
5.3 Software Tunable Analog Radio Components 1
5.4 Antenna systems 1
5.5 Reconfigurable digital radio technologies: economic value 3
model, example scenarios
6 Dynamic Spectrum Access
6.1 Coexistence of dissimilar secondary radio systems 1
6.2 Impact of QoS & interference 1
6.3 Codes for dynamic spectrum access 2
6.4 Coexistence& access problems in Cognitive radios 1
6.5 Spectrum sensing methods for Cognitive radios 2
6.6 Spectrum sensing in current wireless standards 1
6.7 Cognitive OFDM standards & technologies 1
6.8 802.11 AD standard a case study 1
7 Cognitive Radio Applications
7.1 Cognitive radios in wireless communication 2
7.2 Mobility management 1
7.3 location Estimation& Sensing 2
7.4 UWB Cognitive Radio 1

Course Designers:
1. Dr.M. Suganthi [email protected]
2. Dr.T. Aruna [email protected]

140
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPR0 COMPUTER VISION
PE 3 1 - 4

Preamble
The purpose of this course is to provide the concepts and applications in computer vision.
Topics include: Image sensing including cameras and projection models, low-level image
processing methods such as filtering and edge detection; mid-level vision topics such as
segmentation, clustering, feature extraction, as well as high-level vision tasks such as object
recognition, scene recognition, and object and people tracking, human activity recognition
through different classifiers.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Explain about what is computer vision Understand


CO2. Explain how image is formed through projections Understand
CO3. Explain the essential topics such as segmentation and feature Understand
extraction
CO4. Apply the extracted features to computer vision applications such as Apply
object recognition and human activity recognition
CO5. Analyze different classifiers and choose suitable classifier for Analyze
specific application

Mapping with Programme Outcomes


COS PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - L - - -- - - - -
CO2 M M L L - L L - - - L
CO3 M L M M S L L L - M L
CO4 M M M M M L L L L M M
CO5 M M L M M M L L M M L
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 20 20 20 20
2 Understand 40 40 20 20
3 Apply 40 40 40 40
4 Analyze 0 0 20 20
5 Evaluate 0 0 0 0
6 Create 0 0 0 0

141
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions


Course Outcome 1(CO1):
1. Define specular aberration?
2. Define snakes.
3. State the assumptions for object motion.
4. State structure from motion theorem
5. List the classifiers for object recognition.
6. Define diffusion.
Course Outcome 2(CO2):
1. Compare CCD vs CMOS Sensors.
2. How an image is formed through pin hole projection?
3. How segmentation by clustering is applied to shot boundary detection and
background subtraction with neat sketch?
4. How mosaics and snakes are helpful for image analysis and understanding?
5. How corner detection is obtained using SIFT operator?
Course Outcome 3(CO3):
1. Apply any one of the computer vision algorithm for object recognition.
2. How color based subtraction and gradient based subtraction are used to find the
foreground image?
3. How video tracker is applied to target tracking in activity analysis?
4. Apply SVM classifier to classify different fruits?
5. Apply Bag of words technique for object recognition?
Course Outcome 4(CO4):
1. Analyze which classifier and feature extraction technique will be suitable for scene
understanding?
2. Analyze Harris corner detection and SIFT corner detection for object recognition.
3. Analyze different feature extraction techniques for pattern recognition?
4. Analyze how bag of words technique will be suitable for scene understanding?
5. Analyze active contour model and snakes for segmenting the image?
Course Outcome 5(CO5):
1. Analyze which classifier and feature extraction technique will be suitable for activity
Recognition?
2. Given many points in correspondence across several images, simultaneously
compute the 3D location and camera (or) motion parameters using structure from
motion theorem.
3. Apply K-Means clustering algorithm for segmenting different objects in a given
scene?
4. Prove that, in the absence of external forces, a snake will always shrink to a
smallcircle and eventually a single point, regardless of whether first- or second order
smoothness (or some combination) is used. Also, illustrate how active contour
models are used for object detection as a geodesic computation approach.
5. Illustrate how Naïve Bayes classifier and K- nearest neighbour classifier are used for
object recognition and compare their merits and demerits.

142
TCE - M.E. Degree (Communication Systems)

Concept Map

Syllabus
Computer Vision- Introduction- computer vision applications-Low Level Vision -
Geometry- Camera models - Projection-Pinhole-perspective – orthographic - Vanishing
points-Lenses- Chromatic aberration - Photon‟s life choices-image formation- Alignment-
Middle Level Vision- Segmentation- Clustering – snakes - Active contour model - Feature
Extraction-Harris corner detection- Interest points-SIFT-HOG-High Level Vision-Object
Recognition- SVM - -Naïve Bayes-Bayesian network-Randomized Forests-Boosted
Decision Trees-K-nearest neighbor-Bag of Features- Scene Understanding- Mosaics-
Structure from motion-Activity Recognition-Background subtraction-Motion Analysis-Feature
Extraction-Classifiers

Reference Books:
1. Computer Vision: Algorithms and Applications, by Richard Szeliski, Springer, 2010.
2. Computer Vision: A Modern Approach, by D.A. Forsyth and J. Ponce, Prentice Hall,
2002.
3. Multiple View Geometry in Computer Vision, 2nd Edition, by R. Hartley, and A.
Zisserman, Cambridge University Press, 2004.
4. Pattern Classification (2nd Edition), by R.O. Duda, P.E. Hart, and D.G. Stork, Wiley-
Interscience, 2000.

Course Contents and Lecture Schedule

No. Topic No. of Lectures


1 Computer Vision- Introduction, computer vision applications-
Low Level Vision 2
1.1 Projective Geometry 2
1.1.1 Projection-Pinhole 1
1.1.2 Perspective 1
1.1.3 orthographic 1
1.1.4 Vanishing points 1
1.1.5 Lenses 2
1.1.6 Camera models 1
1.1.7 Chrmatic aberration 1
1.1.8 Photon‟s life choices 2

143
TCE - M.E. Degree (Communication Systems)

No. Topic No. of Lectures


1.1.9 image formation 1
1.2 Alignment 1
2.1 Middle Level Vision-Segmentation 1
2.1.1 Clustering 1
2.1.2 Snakes 1
2.1.3 Active contour model 1
2.2 Feature Extraction 1
2.2.1 Harris corner detection 2
2.2.2 Interest points 1
2.2.3 SIFT 2
2.2.4 HOG 1
3.1 High Level Vision-Object Recognition 1
3.1.1 SVM 1
3.1.2 Naïve Bayes 2
3.1.3 Bayesian network 1
3.1.4 Randomized Forests 1
3.1.5 Boosted Decision Trees 1
3.1.6 K-nearest neighbor 1
3.1.7 Bag of Features 1
3.2 Scene Understanding 1
3.2.1 Structure from motion 2
3.2.2 Mosaics 1
3.3 Activity Recognition-Background subtraction 2
3.3.1 Video Tracker 1
3.3.2 Motion Analysis 1
3.3.3 Feature Extraction 1
3.3.4 Classifiers 2
Total Number of Hours 49
Course Designer:

1. Dr. B.Yogameena, [email protected]

144
TCE - M.E. Degree (Communication Systems)

ANTENNAS FOR WIRELESS Category L T P Credit


14CNPS0
APPLICATIONS PE 3 1 0 4

Preamble
The tremendous success enjoyed by the cellular industry and advances in radio frequency
integrated circuits have in recent years fostered the development of various wireless
technologies, including RFID, mobile internet, body-centric communications, and UWB
communication. For aesthetic reasons, all these systems require small antennas that can be
embedded into the mobile units. Furthermore, the development of new services and radio
technologies demand for low cost, light weight, miniaturized, efficient antennas for portable
wireless devices. The radiation characteristics of antennas can be understood through
Electromagnetic Simulators such as ADS Momentum, CST Microwave Studio etc. One of
the main competencies that a present day antenna engineer has to posses is the capability
to design antennas for portable wireless devices that have good bandwidth, gain and
radiation characteristics. This course is essential to understand the need for designing
miniaturized antennas for wireless applications such as Cellular Base station, Mobile
handsets, Radio frequency identification, and Wide band communication. This course
presents various types of antenna geometry suitable for wireless communication, the issues
in respect of their design and development.

Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to
CO1. Explain the behavior of an antenna in terms its parameters Apply
CO2. Simulate the radiation pattern of antennas using EM CAD simulator Apply
software-ADS
CO3. Explain the design issues in wireless device including cellular base Analyze
station, handset and UWB communication
CO4. Select an antenna for the above mentioned wireless applications Analyze
CO5. Design planar antennas for given specifications Create

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S M S - - - -- - - - -
CO2 M S S M - - - - - - -
CO3 M M S S M - - M M - -
CO4 L M S S S L - L M - -
CO5 L S S S S S - M S - -
S- Strong; M-Medium; L-Low

145
TCE - M.E. Degree (Communication Systems)

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 40 20 20 20
Understand 40 20 20 20
Apply 20 20 20 20
Analyse 0 0 0 0
Evaluate 0 20 20 20
Create 0 20 20 20

Course Level Assessment Questions

Course Outcome 1 (CO1):


1.What are the features of 3G wireless systems?
2.Explain the spectrum allocation for various wireless applications.
3.Define radiation resistance of an antenna.
4.What is meant by polarization of antenna?
5.List some of the antennas used in handset.
6.Explain the radiation mechanism of PIFA antenna and their parameters.

Course Outcome 2 (CO2):


1.Why microstrip antennas are preferred for space applications?
2.Why monopole antennas are preferred for wireless communication?
3.What are the effects of user on the mobile unit performance?
4.What wireless antenna can be used to cover a small campus area of a few buildings?
5.Compare active and passive RFID‟s
6.What are the constraints used in the design of handset antennas?

Course Outcome 3 (CO3):


1.Two identical isotropic radiators are spaced d= λ/2 meters apart and fed with currents
of equal magnitude but in phase quadrature difference „β‟. Evaluate the resultant
radiation and thereby identify the direction of maximum radiation.
2.Propose simulation steps to facilitate the design of patch antenna on a multilayer
substrate having effective dielectric constant of 5.5.
3.Derive the maximum reading distance of a tag in a RFID system.
4.Evaluate the performance of PC card antenna and INF antenna in a laptop prototype.
Prepare a model chart for developing antenna for wearable devices considering
different RF constraints.
5.Explain in detail how conventional planar antenna can be modified to provide wide
bandwidth

Course Outcome 4 (CO4):


1. A two element end-fire array in free space consists of 2 vertical side by side λ/2
elements with equal out of phase currents. At what angles in the horizontal plane is
the field intensity is maximum: (a) when the spacing is λ/2?
2. What spacing of two in phase side by side λ/2 antenna produces maximum gain?
What is the gain in dBi?
3. Given a set of wire antennas having same resonant frequency 2.5GHz, find the
suitable candidate for TV reception?

146
TCE - M.E. Degree (Communication Systems)

4. Two X band rectangular horns, with aperture dimensions of 5.5cm and 7.5cm and
each with a gain of 16.3dB at 10GHz are used as transmitting and receiving
antennas. If the input power is 200Mw, and the antennas are polarization matched,
evaluate the amount of power received at a distance of 50m. Calculate the amount of
power reduction if the propagation is disturbed to give VSWR of 1.1.
5. Compare the performance of two element in phase fed linear array of microstrip
patches with the spacing d=λ/2 and d=λ/4 and thereby obtain the array condition for
getting maximum radiation. Given the specification, Frequency= 6GHz, Gain =20Db,
Find the number of elements and spacing in the smart antenna array. Evaluate the
resultant radiation for the phase shifts: (a) β=0◦ (b) β=-90◦ (c) β=+90◦ the and propose
the phase condition for making the array as broadside array.

Course Outcome 5 (CO5):


7. Design a mini wireless antenna for Laptop WLAN applications.
8. Design a 4 element array of λ/2 spacing between elements. The radiation pattern is
to have maximum in the direction perpendicular to the array axis.
9. Design a compact microstrip antenna resonating at the frequency of 2.4GHz
10. Design a planar inverted F antenna operating in Cellular GSM lower band.
11. Suggest a suitable planar antenna system for the given specification:
Center Frequency - 5GHz, Dielectric constant – 3.38, Thickness -
1.52mm, VSWR - 2:1 and Bandwidth > 500MHz
12. Design a 4 element MIMO antenna operating at 2.4GHz application.
Design a wide band antenna suitable for blue tooth communication with the substrate
having Dielectric constant 4.6, thickness - 0.3 mm.

Concept Map

147
TCE - M.E. Degree (Communication Systems)

Syllabus

Antenna Parameters & Types: RF Front end in Wireless system, Antenna fundamentals,
Radiation mechanism, Antenna parameters- Radiation pattern, power density, radiation
intensity, directivity, Gain, polarization, radiation efficiency, effective aperture. Types of
antennas - Monopole, Dipole, Slot, Patch, Radiation mechanism, Radiation pattern, Antenna
array: Active array- Two element array - broadside, end-fire, phased array concept Passive
array – Yagi array. Miniaturization and Bandwidth Enhancement: Miniaturization-
Shorting and loading of antenna, Use of Slots, Fractal techniques, Bandwidth Improvement-
Substrate selection, Multilayer substrate antenna, Dual & Circular Polarization, Circularly
Polarized MSAs, MSA with Modified Corners. Compact Antenna for Mobile Handset and
Laptop: Performance Requirements, Electrically Small Antennas, Classes of Handset
Antennas- External, Internal antenna, Microstrip patch antenna, Planar Inverted F antenna
(PIFA), Fractal antennas, SAR, Practical Design for Mobile application, Wireless in Laptop,
Laptop Antenna Issues, Possible Antennas for Laptop Applications, Mechanical and
Industrial design constraints, Link budget model, Antenna Design Methodology. An INF
Antenna, Antennas for WWAN, Integrated Antenna, Dualband antenna. Antennas RFID
Tag and UWB Communication: RFID Fundamentals, RFID System Configuration,
Classification of RFID Systems, Principles of Operation, Frequencies, Regulations and
Standardization, Design Considerations for RFID Tag Antennas, UWB Wireless Systems,
Challenges in UWB Antenna Design, Frequency-Independent Designs, Planar Broadband
Designs, Planar Printed PCB Designs. Antenna array for Cellular applications: Smart
Antenna array- Benefits of Smart antennas, Types of Smart antenna, Fixed & switched
beam antenna system, Adaptive array system, Analog and Digital Beamforming, Multiple
antenna design, Combining techniques, Diversity, Multi beam formation-Use of Buttler
matrix, Smart antenna for Mobile Base stations. EM simulation with ADS Momentum and
CST Microwave studio, Antenna Prototype development.

Reference Books

1. John D.Kraus, Ronald J.Marhefka “Antennas for all Applications” Fourth Edition, Tata
McGraw- Hill, 2006.
2. Kin Lu Wong, “Planar Antennas for Wireless communication”, Wiley Interscience,
2003.
3. Grishkumar and K.P.Ray, “Broadband microstrip antennas” Artech House, 2003
4. Zhi Ning Chen, “Antennas for Portable devices” Wiley Publishers, 2007
5. J.C.Liberti, JR and Theodore Rappaport, “Smart Antennas for Wireless
communication” Prentice Hall of India, 1999.
6. Ahmed El-Zooghby, “Smart Antenna Engineering” Artech House, 2008
7. http://ieeexplore.org
8. http://edocs.soco.agilent.com
9. http://cst.com

Course Contents and Lecture Schedule

Module Topic No. of


No. Lectures
1 Antenna Parameters & Types
1.1 RF Front end in Wireless system, 1
1.2 Antenna fundamentals, Radiation mechanism, 1
1.3 Antenna parameters- Radiation pattern, 2
1.4 power density, radiation intensity, directivity, Gain, polarization, 2
radiation efficiency, effective aperture.

148
TCE - M.E. Degree (Communication Systems)

1.5 Types of antennas - Monopole, Dipole, Radiation mechanism 1


1.7 Antenna array: Active array- Two element array - broadside, 2
end-fire, phased array
1.8 Passive array – Yagi array. 1
2 Miniaturization and Bandwidth Enhancement
2.1 Miniaturization- Shorting and loading of antenna 1
2.2 Use of Slots, Fractal techniques, 1
2.3 Bandwidth Improvement- Multilayer substrate antenna, stacked 1
resonator
2.4 Dual & Circular Polarization: Dual feed, Diagonal feed, 1
2.5 Edge shaping of MSA, Circularly Polarized MSAs, MSA with 1
Modified Corners.
2.6 Low power antennas 1
3 Compact Antenna for Mobile Handset and Laptop
3.1 Performance Requirements, Electrically Small Antennas, 2
3.2 Classes of Handset Antennas- External, Internal antenna, 1
Microstrip patch antenna,
3.3 Planar Inverted F antenna (PIFA), SAR, Practical Design for 2
Mobile application
3.4 Wireless in Laptop, Laptop Antenna Issues, Possible Antennas 2
for Laptop Applications,
3.5 Mechanical and Industrial design constraints, Link budget 2
model, Antenna Design Methodology.
3.6 An INF Antenna, Antennas for WWAN, Integrated Antenna, 2
Dualband antenna.
4 Antennas RFID Tag and UWB Communication
4.1 RFID Fundamentals, RFID System Configuration, 2
4.2 Classification of RFID Systems, Principles of Operation, 1
Frequencies,
4.3 Regulations and Standardization, Design Considerations for 1
RFID Tag Antennas,
4.4 UWB Wireless Systems, Challenges in UWB Antenna Design 1
4.5 Frequency-Independent Designs, 2
4.6 Planar Broadband Designs, Planar Printed PCB Designs 2
5 Antenna array for Cellular applications
5.1 Smart Antenna array- Benefits of Smart antennas, 1
5.2 Types of Smart antenna, Fixed & switched beam antenna 2
system,
5.3 Adaptive array system, design, Analog and Digital 2
Beamforming,
5.4 Multiple antenna design, Combining techniques, Diversity, Use 2
of Buttler matrix
5.5 Smart antenna for Mobile stations 2

Course Designers:

1. Dr.B. Manimegalai [email protected]


2. Dr.S.Raju [email protected]

149
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPT0 CMOS ASIC DESIGN
PE 3 1 0 4

Preamble
This course is aimed to provide an opportunity for the students to acquire technical business
insight into some of the vital aspects of ASIC Design. This course provides the students, the
knowledge about ASICs chip design and construction. It considers programmable ASICs
analysis, front-end, back-end design and improvement algorithms.
Prerequisite
Nil
Course Outcomes
On the successful completion of the course, students will be able to
CO1. Provide useful insight into some of the vital issues in deep sub micron Apply
design.
CO2. Explain the different phases of the design flow for digital ASICs Apply
CO3. Understand capabilities and limitations of CMOS logic and adjust Analyze
designs to best use CMOS ASIC Technologies
CO4. Demonstrate an understanding of how to optimize the performance, area, Analyze
and power of a complex digital functional block, and the tradeoffs
between these.
CO5. Apply techniques to analyze the timing of the final implementation Create

Mapping with Programme Outcomes

COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S M S - - - -- - - - -
CO2 M S S M - - - - - - -
CO3 M M S S M - - M M - -
CO4 L M S S S L - L M - -
CO5 L S S S S S - M S - -
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests


Bloom‟s Category Terminal Examination
1 2 3
Remember 10 10 0 0
Understand 20 10 20 20
Apply 40 40 40 40
Analyze 30 40 40 40
Evaluate 0 0 0 0
Create 0 0 0 0

150
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. List out the are goal and objectives for CAD VLSI physical design
2. Define MTBU.
3. Mention the semi custom characteristics of an FPGA.
4. State Greedy algorithm
5. Make a difference between global routing and detailed routing
6. Recall the goals and objectives of system partitioning.
7. Define seeding in Floorplanning.

Course Outcome 2 (CO2):


2 Draw the ASIC design flow
3 Explain different types of I/O requirements with example?
4 Illustrate channel definition in floor planning with suitable examples.
5 Compare the different types of Gate Array semi custom ASIC.
6 Explain in detail about routing algorithms.
7 Illustrate I/O and power planning with neat sketches.
7. Give the expression for sources of power dissipation in CMOS logic

Course Outcome 3 (CO3):


1 Draw the network graph for the given cost matrix and partition the graph using K-L
algorithm
C= 0 1 1
1 0 1
2 Determine the local optimum solution for the above cost matrix
3 Calculate the Eigen value and Eigen vectors for the given network
4 Compute the interconnect delay for the given circuit.
5. Find the Eigen vector for the given network? And also place the cell in two
dimensional.
A B

6. Compute the shortest distance between the two nodes using partitioning algorithm.

Course Outcome 4 (CO4):


1. Identify the procedure to partition the network using iterative improvement
algorithm
2. Distinguish the difference between Global routing inside flexible blocks and
between blocks
3. Identify the steps involved to place logic cells of a network in two dimensional
structures.

Course Outcome 5 (CO5):

1. Calculate the total chip power dissipation for following ACTEL 1020B FPGA.
Consider an ACTEL with a 20 MHz clock. We shall initially assume 100 percent
utilization of the 547 logic modules and assume that each switches at an average

151
TCE - M.E. Degree (Communication Systems)

speed of 5MHz.We shall also assume that we use all of the 69 I/O modules and
that each switches at an average speed of 5MHz.
2. Illustrate the measurement of interconnect delay in the given network.

Concept Map

Syllabus

Introduction to ASICs: ASIC Types- Full Custom, Semi Custom, Gate Array ASIC, Cell
Based ASIC, ASIC Design Flow, Programmable ASIC- antifuse - Static RAM, EPROM &
EEPROM Technology. CMOS Logic: CMOS transistors, Design Rules, Combinational and
sequential Logic, Data path Logic and I/O cells. Programmable ASICs Interconnect:
Programmable ASIC Logic Cells-Actel ACT, Xilinx LCA, Altera FLEX and MAX,
Programmable ASIC I/O Cells – DC & AC inputs and outputs, Clock & Power inputs -Xilinx
I/O blocks, Programmable ASIC Interconnect. ASIC Construction : Physical design – CAD
Tools, Methods and Algorithms, System Partitioning – Estimating ASIC Size, Power
Dissipation, Partitioning Methods-Connectivity Measurement, Constructive Partitioning,
Iterative Partitioning Improvement, The K-L Algorithm, The Ratio-Cut Algorithm, The Look-
Ahead Algorithm, Simulated Annealing, Simple Partitioning Example. FloorPlanning and
Placement: Floor Planning, Goals and Objectives, Measurement of Delay, Tools, Channel
Definition Placement Definitions, Goals and Objectives, Measurement of Placement, Goals,
Placement Algorithms, Simple Placement Example, Physical Design Flow. Routing: Global
Routing, Measurement of Interconnect delay, Methods, Fixed blocks and Flexible Blocks,
Timing Driven Methods, Detailed Routing, Goals and Objectives, Measurement of Channel
Density, Algorithms, Special Routing. Testing: The importance of test-boundary scan test,
physical faults, Stuck at fault model-logical faults-IDDQ test, Fault Simulation, Automatic test
pattern generation- ATPG algorithm- PODEM algorithm, BIST and signature Analysis.

152
TCE - M.E. Degree (Communication Systems)

Reference Books

1 Michael John Sebastian Smith, “ Applications Specific Integrated Circuits”, Pearson


Education, Ninth Indian reprint,13th edition,2004.
2 Neil H.E.Weste, Eshraghian, “Principles of CMOS VLSI Design”: Addison Wesley,
1999.
3 M.J Morant, “Integrated Circuit Design & Technology”, Chapman and Hall,1990.
4 Wayne Wolf, “Modern VLSI Design-A System Approach”, PTR Prentice Hall,1994.
5. Andrew Brown, “VLSI Circuits and Systems in Silicon”, McGraw Hill,1991.

Course Contents and Lecture Schedule

Module
Topic No. of Lectures
No.
Module I: Introduction to ASICs
1. Types of ASIC :Full &semi Custom ASICs 1
2. ASIC Design flow 1
3. ASIC Library Design-Transistor as Resistors 2
4. Programmable ASICs- antifuse ,Static RAM 1
5. EPROM & EEPROM Technology 1
Module II : CMOS Logic
6. CMOS Transistors 1
7. The CMOS Process 1
8. CMOS Design Rules 1
9. Combinational Logic Cells 2
10. Sequential Logic Cells 2
11. Datapath Logic Cells and I/O cells 1
Module III : Programmable ASICs Interconnect
12. Programmable ASIC Logic Cells 2
13. Programmable ASIC I/O Cells – DC & AC inputs and outputs 1
14. Clock & Power inputs –Xilinx I/O blocks. 1
15 Programmable ASIC Interconnect- Actel ACT -Xilinx LCA - 1
Xilinx EPLD -Altera MAX and FLEX
Module IV : ASIC Construction
16. Physical design – CAD Tools 1
17.. System Partitioning – Estimating ASIC Size 1
18. Power Dissipation – Switching current 1
19. Short circuit current–subthreshold and leakage current 1
20. Partitioning Methods. 2
Module V: Floor planning and Placement
21. Floor planning goals and Objectives 1
22. Measurement of delay in floorplanning 1
23. Floorplanning tools. Chennal Definition 1
24. I/O and Power Planning- Clock Planning 1
25. Placement: terms and Definitions 1
26. Placement Algorithms 1
Module VI: Routing
27. Global Routing : Measurement of interconnect delay 1
28. Global routing methods- Global routing between blocks 1
29. Global routing inside flexible blocks 1
30. Detailed Routing: Measurement of channel density 1

153
TCE - M.E. Degree (Communication Systems)

31. Algorithms-left edge algorithm, Constraints and routing 1


graphs-area routing algorithms
32. Multi level routing-timing driven detailed routing 1
33. Special routing-clock routing-power routing 1
Module VII: Testing
34. The importance of test-boundary scan test 1
35. Stuck at fault model-logical faults-IDDQ test 2
36. Fault Simulation- simulation results 1
37. Automatic test pattern generation- ATPG algorithm- PODEM 1
algorithm
38. Controllability and observability 1
39. Scan test-built-in self test (BIST)_LFSR 1
Total Number of hours 45

Course Designers:

1. Dr.S.Rajaram [email protected]
2. Dr.D.Gracia Nirmala Rani [email protected]

154
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPU0 NANO MOSFET MODELING
PE 3 1 0 4

Preamble
The present and future generation VLSI systems are all expected to be built using
MOSFETs. Over the years, the VLSI industry has systematically adapted to the use of only
MOSFET for all purposes. This is because of its potential from manufacturability point of
view. Over the years, an advance in physics has given rise many new concepts including
carbon nano tubes, organic electronics, single electron and molecular transistors and so on.
Even in most of these and other emerging nanotechnology based systems, the MOSFET or
devices with MOSFET like characteristics continue to play a very important role. The present
course will introduce and cover in detail all the important techniques used for MOSFET
device modeling. This course can be considered as an extension or advanced version of the
course on „SOLID STATE DEVICE MODELING AND SIMULATION‟
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1: Discuss on the properties of semiconductor devices. Understand


CO2: Make use of various mathematical models to construct optimum Apply
device.
CO3: Differentiate the various MOS technology. Analyse
CO4:Develop simulation setup of a MOS device for the given Create.
specifications
Mapping with Programme Outcomes
COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - -- - - - -
CO2 M M M M - - - - M - -
CO3 L M M M L L M L M M
CO4 L L S M L L M L M M M
S- Strong; M-Medium; L-Low
Assessment Pattern
Continuous Assessment Tests
Bloom’s Category Terminal Examination
1 2 3
Remember 15 10 0 0
Understand 15 20 10 10
Apply 60 40 40 40
Analyse 0 20 30 30
Evaluate 0 0 0 0
Create 0 10 20 20

155
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions

Course Outcome 1 (CO1):


1 Draw the energy band diagram of PN Junction diode.
2 Illustrate the advantages of strained channel MOSFETS
3 Explain in detail about the current voltage characteristics of PN Junction diode.

Course Outcome 2 (CO2):


1 Calculate the I-V equation of SOI MOSFET.
2 Apply the concept of high-k dielectrics in the design of nano scaled MOSFET
3 Model Noise with the suitable models.

Course Outcome 3 (CO3):


1. Distinguish the direct and indirect semiconductor.
2. Compare Fully depleted & partially depleted SOI MOSFETs.
3. Identify the different types of scaling.
4. Identify the steps involved in the design of hetero structures.
5. Analyze the surface potential, electrostatic potential of MOSFET

Course Outcome 4 (CO4):


1. Generate the set of solutions of threshold voltage of MOSFET; also relate this
expression with temperature
2. Build the compact model of bulk MOSFET using SPICE-1, 2.

Concept Map

156
TCE - M.E. Degree (Communication Systems)

Syllabus
Introduction: Basic Device Physics-Intrinsic and extrinsic semiconductors, direct and
indirect semiconductors. Electrons and holes in silicon,energy bands, electron and hole
densities in equilibrium, Fermi-Dirac statistics, carrier concentration, ionization of impurities.
Carrier transport in silicon,drift current, diffusion current. p-n junctions,built-in potential,
electric field, current-voltage characteristics
Mosfet Devices: MOS capacitors - surface potential, accumulation, depletion, inversion,
electrostatic potential and charge distribution, threshold voltage, polysilicon work function,
interface states and oxide traps. Long-channel MOSFETs – threshold voltage, substrate bias
and temperature dependence of threshold voltage, drain-current model, sub-threshold
characteristics, channel mobility, capacitances.
Nano-Scaled Classical MOSFETs: Scaling of MOSFETs – constant-voltage scaling,
constant-field scaling. Short-channel MOSFETs – short-channel effects, velocity saturation,
channel length modulation, source-drain series resistance,DIBL, GIDL. Variability in
MOSFETs. Reliability of MOSFETs , high-field effects, hot carrier degradation, negative-bias
temperature instability, MOSFET breakdown, high-k dielectrics
Non-Classical MOSFETs: Need for non-classical MOSFETs, Silicon-On-Insulator
MOSFETs- Current-voltage equations, fullydepleted SOI MOSFETs, partially-depleted SOI
MOSFETs, Heterostructure MOSFETs , strainedchannel MOSFETs, Power MOSFETs , SiC
MOSFETs, Silicon Nanowires, Carbon Nanotubes.
Compact Models For Circuit Simulators: Introduction to compact models, SPICE Level -
1, 2 and 3 MOS models, BSIM model, EKV model, PSP model, Noise modeling, High a
frequency models, Parameter extraction of MOSFETs.

Reference Books
1. Y. Taur and T. H. Ning, “Fundamentals of Modern VLSI Devices”, Cambridge University
Press, Cambridge, United Kingdom.
2. B. G. Streetman and S. Banarjee, “Solid State Electronic Devices”, Prentice-Hall of India
Pvt. Ltd,New Delhi, India.
3. N. DasGupta and A. DasGupta, “Semiconductor Devices – Modeling and Technology”,
Prentice- Hall of India Pvt. Ltd, New Delhi, India.
4. B. Bhattacharyya, “Compact MOSFET Models for VLSI Design”, John Wiley & Sons Inc.,
2009.
5. K. Maiti, N. B. Chakrabarti, S. K. Ray, "Strained silicon heterostructures: materials and
devices”,The Institution of Electrical Engineers, London, United Kingdom.
6. 1.B. Bhattacharyya, “Compact MOSFET Models for VLSI Design”, John Wiley & Sons
Inc., 2009

Course Contents and Lecture Schedule


No. Topic No. of
Lectures
1 BASIC DEVICE PHYSICS
1.1 Intrinsic and extrinsic semiconductors,.
1
1.1.1 direct and indirect semiconductors
1.1.2 energy bands
1
1.1.3 Electrons and holes insilicon
1.1.4 electron and hole densities in equilibrium 1
1.2 Fermi-Dirac statistics 1
1.3 Carrier concentration 1
1.4 ionization of impurities 1
1.5 Carrier transport in silicon 1
1.6 drift current, diffusion current 1
1.7 p-n junctions 1

157
TCE - M.E. Degree (Communication Systems)

1.8 built-in potential electric field, current-voltage characteristics


2 MOSFET DEVICES
2.1.1 MOS capacitors 1
2.1. surface potential
2.1.1 Accumulation
2
2.1.2 Depletion
2.1.3 Inversion
2.1.4 electrostatic potential
2.1.5 charge distribution
2.1.6 threshold voltage 2
2.1.7 polysilicon work function
2.1.8 interface states and oxide traps
2.2 Long-channel MOSFETs
2.2.1 threshold voltage 2
2.2.2 substrate bias and temperature dependence ofthreshold voltage
2.2.3 drain-current model
2.2.4 sub-threshold characteristics
2
2.2.5 channel mobility
2.2.6 Capacitances
3 NANO-SCALED CLASSICAL MOSFETs
3.1 Scaling of MOSFETs 2
3.1.1 constant-voltage scaling
1
3.1.2 constant-field scaling
3.1.3 Short-channel MOSFETs
1
3.1.4 short-channel effects
3.1.5 velocity saturation, channel length modulation, source-drain series
resistance
3.1.6 DIBL, GIDL
3.1.7 Variability in MOSFETs 2
3.1.8 Reliability of MOSFETs
3.1.9 high-field effects
3.1.10 hot carrier degradation
3.1.11 negative-bias temperature instability 1
3.1.12 MOSFET breakdown 1
3.1.13 high-k dielectrics 1
4 NON-CLASSICAL MOSFETs
4.1 Need for non-classical MOSFETs 1
4.1.1 Silicon-On-Insulator MOSFETs
4.1.2 Current-voltage equations
2
4.1.3 Fullydepleted SOI MOSFETs
4.1.4 partially-depleted SOI MOSFETs
4.1.5 Heterostructure MOSFETs 1
4.1.6 Strainedchannel MOSFETs, 1
4.1.7 Power MOSFETs 1
4.1.8 SiC MOSFETs 1
4.1.9 Silicon Nanowires 1
4.1.10 Carbon Nanotubes 1
5 COMPACT MODELS FOR CIRCUIT SIMULATORS
5.1 Introduction to compact models 1
5.2 SPICE Level - 1, 2 and 3 MOS models, 2
5.3 BSIM model 1
5.4 EKV model 1

158
TCE - M.E. Degree (Communication Systems)

5.5 PSP model 1


5.6 Noise modelling 1
5.7 High-frequency models 1
5.8 Parameter extraction of MOSFETs 1
Total Hours 45
Course Designers:
1. Dr.N.B.Balamurugan [email protected]

159
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNPV0 NANOSCALE TRANSISTORS
PE 3 1 0 4

Preamble
This course provides a comprehensive description of the physics, technology and circuit
applications of multigate field-effect transistors (FETs). It explains the physics and properties
of these devices, how they are fabricated and how circuit designers can use them to improve
the performances of integrated circuits.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1: Recall the MOSFET device physics & the small geometry effects. Remember
CO2: Compare and contrast various MOS technology. Understand
CO3: Make use of various mathematical models to derive electrical Apply
parameters of shrink MOSFETs.
CO4: Examine small geometry effects to model a high performance Analyze
MOSFETs.
Mapping with Programme Outcomes
COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - -- - - - -
CO2 M M M M - - - - M - -
CO3 L M M M L L M L M M
CO4 L L S M L L M L M M M
S- Strong; M-Medium; L-Low
Assessment Pattern
Continuous Assessment Tests
Bloom’s Category Terminal Examination
1 2 3
Remember 10 10 10 10
Understand 20 20 20 20
Apply 20 20 20 20
Analyse 20 20 20 20
Evaluate 10 10 10 10
Create 20 20 20 20

Course Level Assessment Questions

Course Outcome 1 (CO1):


1. State Moore‟s Law.
2. List the small geometry effects.
3. List the various geometrics of MOSFETs.
4. Tabulate natural length(λ) for single gate ,double gate ,triple gate MOSFETs.
5. Define corner effects.
6. What is DIBL?
Course Outcome 2 (CO2):
1. Interpret about short channel effects.
2. Express the need for multigate structures.
3. Demonstrate Quantum effects.
4. Summarize about surface roughness.
5. Compare gm of MuGFET and bulk MOSFET.
6. Indicate the overview of various SoC design & technology aspects.

160
TCE - M.E. Degree (Communication Systems)

Course Outcome 3 (CO3):


1. Use the Poisson-Schrödinger wave equation to figure out the quantum mechanical
effects.
2. Apply Multigate structure to mitigate Short channel effects.
3. Illustrate the impact of device performance on digital circuit design.

Course Outcome 4 (CO4):


1. Analyze various quantum mechanical effects influenced in the short channel
MOSFET.
2. Point out various short channel effects influenced in the short channel MOSFET
3. Analyze the Semiconductor thickness effect.
4. Analyze the single-event effects.
5. Evaluate the electro static potential of given structure with the suitable boundary
conditions.

6. Evaluate the following electrostatic parameters of DG-MOS System..


7. Evaluate the electrostatics DG- MOS system with the suitable equations.
a. Gate Voltage effect.
b. Semiconductor thickness effect.
c. Asymmetry effect.
d. Oxide thickness effect.
e. Electron tunnel Current.

8. Evaluate the threshold voltage shift due to radiation effects.

Concept Map

161
TCE - M.E. Degree (Communication Systems)

Syllabus
Introduction to Novel -MOSFETs: MOSFET scaling: short channel effects,channel
engineering , source/drain engineering , high k dielectric , copper interconnects , strain
engineering, SOI MOSFET: multigate transistors, single gate, double gate, triple gate
surround gate. quantum effects : volume inversion, mobility, threshold voltage , inter
subband scattering, multigate technology ,mobility, gate stack.
Physics of Multigate MOS System: Mos Electrostatics: 1D – 2D MOS Electrostatics,
MOSFET Current-Voltage Characteristics ,CMOS Technology , Ultimate limits. double gate
MOS system : gate voltage effect ,semiconductor thickness effect , asymmetry effect , oxide
thickness effect , electron tunnel current ,two dimensional confinement, scattering – mobility.
Nanowire FETs And Transistors At The Molecular Scale: Silicon nanowire MOSFETs:
Evaluvation of I-V characteristics,The I-V characteristics for nondegenerate carrier statistics,
The I-V characteristics for degenerate carrier statistics, Carbon nanotubes , Bandstructure of
carbon nanotubes, Bandstructure of graphene , Physical structure of nanotubes,
Bandstructure of nanotubes, Carbon nanotube FETs.Carbon nanotube MOSFETs: Schottky
barrier carbon nanotube FETs, Electronic conduction in molecules , General model for
ballistic nanotransistors, MOSFETs with 0D, 1D, and 2D channels .Molecular transistors :
Single electron charging, Single electron transistors.
Radiation Effects: Radiation effects in SOI MOSFETs, total ionizing dose effects,single
gate SOI,multigate devices, single event effect, scaling effects.
Circuit Design Using Multigate Devices: Digital Circuits: impact of device performance on
digital circuits,leakage performance trade off,multi VT devices and circuits,SRAM
design.Analog circuit design: transconductance,intrinsic gain,flicker noise,self heating,band
gap voltage reference.Operational amplifier: comparator designs, mixed signal,successive
approximation DAC, RF circuits.

Reference Books
1. J P Colinge, FINFETs and other multi-gate transistors, Springer – Series on integrated
circuits and systems, 2008
2. Mark Lundstrom Jing Guo, Nanoscale Transistors: Device Physics, Modeling and
Simulation, Springer, 2006.

Course Contents and Lecture Schedule

No. Topic No. of


Lectures
INTRODUCTION TO NOVEL -MOSFETS
1 MOSFET scaling
1.1 Short channel effects 1
1.1.1 Channel engineering
1.1.2 Source/drain engineering 1
1.1.3 High k dielectric
1.1.4 Copper interconnects 1
1.1.5 Strain engineering
1.1.6 SOI MOSFET
1.2 Quantum effects
1.2.1 Volume inversion 1
1.2.2 Mobility
1.2.3 Threshold voltage 1
1.2.4 Inter subband scattering
1.2.5 Multigate technology
1.2.6 Mobility and gate stack
1.3 Multigate transistors 1
1.3.1 Single gate 1

162
TCE - M.E. Degree (Communication Systems)

1.3.2 Double gate


1.3.3 Triple gate 1
1.3.4 Surround gate
2 PHYSICS OF MULTIGATE MOS SYSTEM
2.1 MOS Electrostatics 1
2.1.1 1D – 2D MOS Electrostatics
2.1.2 MOSFET Current-Voltage Characteristics
2.1.3 CMOS Technology
2.1.4 Ultimate limits
2.2 Double gate MOS system
2.2.1 Gate voltage effect 1
2.2.2 Semiconductor thickness effect 1
2.2.3 Asymmetry effect 1
2.2.4 Oxide thickness effect 1
2.2.5 Electron tunnel 1
2.2.6 Current – two dimensional confinement 1
2.2.7 Scattering andmobility 1
3 NANOWIRE FETS & TRANSISTORS AT THE MOLECULAR
SCALE
3.1 Silicon nanowire MOSFETs 1
3.1.1 Evaluvation of I-V characteristics
3.1.2 The I-V characteristics for nondegenerate carrier statistics 1
3.1.3 The I-V characteristics for degenerate carrier statistics
3.1.4 Carbon nanotubes 1
3.1.5 Bandstructure of carbon nanotubes
3.1.6 Bandstructure of grapheme 1
3.1.7 Physical structure of nanotubes
3.1.8 Bandstructure of nanotubes 1
3.2 Carbon nanotube FETs 1
3.2.1 Carbon nanotube MOSFETs
3.2.2 Schottky barrier carbon nanotube FETs 1
3.2.3 Electronic conduction in molecules
3.2.4 General model for ballistic nanotransistors MOSFETs with 0D, 1D, 1
and 2D channels
3.3 Molecular transistors
3.3.1 Single electron charging 1
3.3.2 Single electron transistors 1
4 RADIATION EFFECTS
4.1 Radiation effects in SOI MOSFETs 1
4.2 Total ionizing dose effects 1
4.3 Single gate SOI 1
4.4 Multigate devices, 2
4.5 Single event effect and scaling effects. 2
5 CIRCUIT DESIGN USING MULTIGATE DEVICES
5.1 Digital circuits 1
5.1.1 Impact of device performance on digital circuits 2
5.1.2 Leakage performance trade off 2
5.1.3 Multi VT devices and circuits 1
5.1.4 SRAM design 2
5.2 Analog circuit design
5.2.1 Transconductance 2
5.2.2 Intrinsic gain 1
5.2.3 Flicker noise 2

163
TCE - M.E. Degree (Communication Systems)

5.2.4 Self heating 2


5.2.5 Band gap voltage reference
5.3 Operational amplifier
5.3.1 Comparator designs 1
5.3.2 Mixed signal 1
5.3.3 Successive approximation DAC, RF circuits 1
Total hours 45
Course Designers:

1. Dr.N.B.Balamurugan [email protected]

164
TCE - M.E. Degree (Communication Systems)

SOLID STATE DEVICE MODELING AND Category L T P Credit


14CNPW0
SIMULATION PE 3 1 0 4

Preamble
This course is acquainting the students with fundamentals of building device and circuit
simulators, and efficient use of simulators, and efficient use of simulators. The knowledge of
different analysis of device modeling and solving network equations will motivate students
towards device modeling. The three areas of circuit design, device modeling and CAD tools
are the main pillars based on which all VLSI system designs are carried out. This course
introduces the principles of device modeling where in device physics and experimentally
observed device performances characteristics combined so as to lead to predictable
equations and expressions for device performance under scenarios of excitation.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1. Explain the importance of MOS capacitor and Small signal modeling Understand
CO2. Apply and determine the drift diffusion equation and stiff system Apply
equation.
CO3. Analyze circuits using parasitic BJT parameters and newton raphson Analyze
method.
CO4. Model the MOS transistor using schrodinger equation and Multistep Create
methods.
Mapping with Programme Outcomes
COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - -- - - - -
CO2 M M M M - - - - M - -
CO3 L M M M L L M L M M
CO4 L L S M L L M L M M M
S- Strong; M-Medium; L-Low
Assessment Pattern
Continuous Assessment Tests
Bloom’s Category Terminal Examination
1 2 3
Remember 20 10 10 10
Understand 20 10 10 10
Apply 40 40 40 40
Analyse 20 20 20 20
Evaluate 0 10 10 10
Create 0 10 10 10
Course Level Assessment Questions

Course Outcome 1 (CO1):


1. Recall the basic operation of MOSFET capacitor.
2. State the prime importance of circuit and device simulations.
3. List out the general purpose circuit simulators.
4. State continuity equation.
5. Define small signal analysis.

165
TCE - M.E. Degree (Communication Systems)

Course Outcome 2 (CO2):


1 Draw the equivalent circuit representation of MOS capacitor.
2 Explain the hybrid analysis equations.
3 Illustrate the solutions of stiff systems of equations.
4 Give the formula used in drift-diffusion equation.
5 Explain in detail about the finite difference solutions

Course Outcome 3 (CO3):


1 Calculate the modeling parameters of parasitic BJT.
2 Implement non-linear networks device modeling through newton-raphson technique.
3 Compute trap rate by solving suitable mathematical model.
4 Find the PN diode characteristic through simulation.
5 Estimate the convergence of newton-raphson technique.

Course Outcome 4 (CO4):


1. Distinguish the different types of device modeling technique.
2. Identify the procedure to model the network equations.
3. Identify the steps involved in multistep methods.
4. Analyze the Schrödinger wave equation.
5. Calculate hydrodynamic equations.

Concept Map

Syllabus

MOSFET DEVICE PHYSICS MOSFET capacitor, Basic operation, Basic


modeling,Advanced MOSFET modeling, RF modeling of MOS transistors, Equivalent circuit
representation of MOS transistor,Highfrequency behavior of MOS transistor and A.C small
signal modeling, model parameterextraction, modeling parasitic BJT, Resistors, Capacitors,
Inductors.
DEVICE MODELLING Prime importance of circuit and device simulations in VLSI; Nodal,
mesh, modified nodal andhybrid analysis equations. Solution of network equations: Sparse
matrix techniques, solution of nonlinear networks through Newton-Raphson technique,
convergence and stability.

166
TCE - M.E. Degree (Communication Systems)

MULTISTEP METHODS Solution of stiff systems of equations, adaptation of multistep


methods to the solution of electrical networks, general purpose circuit simulators.
MATHEMATICAL TECHNIQUES FOR DEVICE SIMULATIONS Poisson equation,
continuity equation, drift-diffusion equation, Schrodinger equation, hydrodynamic equations,
trap rate, finite difference solutions to these equations in 1D and 2D space, grid generation.
SIMULATION OF DEVICES Computation of characteristics of simple devices like p-n
junction, MOS capacitor and MOSFET; Small-signal analysis.
Reference:

Reference Books
1. Arora, N., “MOSFET Modeling for VLSI Simulation”, Cadence Design Systems, 2007
2. Selberherr, S., “Analysis and Simulation of Semiconductor Devices”, Springer-
Verlag.,1984
3. Fjeldly, T., Yetterdal, T. and Shur, M., “Introduction to Device Modeling and Circuit
Simulation”, Wiley-Interscience., 1997
4. Grasser, T., “Advanced Device Modeling and Simulation”, World Scientific Publishing
Company., 2003
5. Chua, L.O. and Lin, P.M., “Computer-Aided Analysis of Electronic Circuits:
Algorithms and Computational Techniques”, Prentice-Hall., 1975
6. Trond Ytterdal, Yuhua Cheng and Tor A. FjeldlyWayne Wolf, “Device Modeling for
Analog and RF CMOS Circuit Design”, John Wiley & Sons Ltd.

Course Contents and Lecture Schedule


No. Topic No. of
Lectures
1 MOSFET DEVICE PHYSICS
1.1 MOSFET capacitor
1.1.1 Basic operation 1
1.1.2 Basic modeling 1
1.1.3 Advanced MOSFET modeling 1
1.2 RF modeling of MOS transistors
1.2.1 Equivalent circuit representation of MOS transistor 1
1.2.2 High frequency behaviour of MOS transistor 1
1.2.3 A.C small signal modeling 1
1.3 Model parameter extraction
1.3.1 modeling parasitic BJT 1
1.3.2 Resistors, Capacitors, Inductors 2
2 DEVICE MODELLING
2.1 Prime importance of circuit and device simulations in VLSI
2.1.1 Nodal, mesh, modified nodal and
3
hybrid analysis equations.
2.2 Solution of network equations
2.2.1 Sparse matrix techniques 2
2.2.2 solution
3
of nonlinear networks through Newton-Raphson technique
2.2.3 convergence and stability 1
3 MULTISTEP METHODS,.
3.1 Solution of stiff systems of equations
3.2 adaptation of multistep methods to the solution of
3
electrical networks
3.2 general purpose circuit simulators 3
4 MATHEMATICAL TECHNIQUES FOR DEVICE SIMULATIONS
4.1 Poisson equation, 1

167
TCE - M.E. Degree (Communication Systems)

4.2 continuity equation 1


4.3 drift-diffusion equation, 1
4.4 Schrodinger equation, 2
Course Designers:
1. Dr.N.B.Balamurugan [email protected]

168
TCE - M.E. Degree (Communication Systems)

PHOTONIC CRYSTALS- PRINCIPLES Category L T P Credit


14CNPX0
AND APPLICATIONS PE 4 - - 4

Preamble
This course presents the state-of-the-art in the field of Photonics which encompasses the
usage of photonic crystal in a very high speed all- optical communication and networking.
The course will enable the students to learn about all optical devices that form the backbone
of future all-optical networks. The course will provide students with a fundamental
understanding of photonic crystal, fabrication, design, testing and application. Students will
do the design of all optical devices, optical logic gates and combinational circuits using
photonic crystal.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to

CO1 Understand the basic concepts, properties, fabrication techniques Understand


and the role of photonic crystals in the photonic technology
CO2 Analyze the structure of photonic crystal using rod slab and hole Analyze
slab
CO3 Analyze the behaviour of light inside the photonic crystal Analyze
CO4 Focus the mechanism for all-optical photonic crystal switching Apply
CO5 Designing various optical devices using photonic crystal Create

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S - - - - - - - - - -
CO2 S S S - - - - - S - -
CO3 S S S - - - - - S - -
CO4 S M S - - - - - S - -
CO5 S S S - - - - - S - -
S- Strong; M-Medium; L-Low

Assessment Pattern

Continuous Assessment Tests End Semester


Bloom’s category Examinations
1 2 3
1 Remember 20 20 10 10
2 Understand 20 20 20 20
3 Apply 40 40 40 40
4 Analyze 20 20 0 15
5 Evaluate 0 0 0 0
6 Create 0 0 30 15

169
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions


Course Outcome 1 (CO1):
9. Derive the wave equation of photonic crystal using Maxwell equation.
10. For one-dimensional photonic crystal, the central wavelength of the photonic band
gap is 600 nm. The refractive index of the high and low dielectric layers n1 and n2 is
2.3 and 1.6, respectively. Design the thickness of the high and low dielectric layers
d1 and d2
11. For a polystyrene opal with a photonic band gap centered at 800 nm, calculate the
diameter of polystyrene sphere according to the Bragg equation

where λ is the center wavelength of the photonic band gap, f1 and f2 are the filling
rates of air and polystyrene, respectively, €1 and €2 are the dielectric constants of air
and polystyrene, respectively, and d is the spacing of (111) crystal planes.
Course Outcome 2 (CO2):
1. Differentiate rode slab and hole slab.
2. Write the mechanism for high Q with incomplete gaps.
3. Explain in detail about the line defects in slab.
Course Outcome 3 (CO3):
1. Explain in detail about plane wave expansion method.
2. Study and analyze 1D, 2D, 3D finite difference time domain method (FDTD) for the
propagation of electromagnetic wave into the photonic crystal.
3. Apply transfer matrix method for solving 1D photonic crystal slab.
Course Outcome 4 (CO4):
1. Write the mechanism of Photonic Crystal All-Optical Switching.
2. Explain Nonlinear Chiral Photonic Crystal Optical Switching.
3. Explain Photonic Crystal Thermal-Optic Switching.
4. Illustrate the working of Photonic Crystal Electro-Optic Switching.
Course Outcome 5 (CO5):
1. Design all optical logic gates using photonic crystal?
2. Design all-optical logic flip flop using photonic crystal?
3. Design a mirror, waveguide, cavity, waveguide bend, splitter using photonic crystal?
4. Design nonlinear filters using photonic crystal?

Concept Map

170
TCE - M.E. Degree (Communication Systems)

Syllabus
Fundamental Properties of Photonic Crystals: Configuration of Photonic Crystals- one
dimensional Photonic crystal- two dimensional photonic crystal- three dimensional photonic
crystal- Origination of Photonic Band gap- Characterization of Photonic Band gap
Fabrication Technique of Photonic Crystals: One-Dimensional Photonic Crystals- Two
Dimensional Photonic Crystals- Three Dimensional Photonic Crystals Photonic crystal
slabs: rod and hole slabs- line defect in slab- point defect in slab- mechanism for high Q
with incomplete gaps, Defect Modes in Photonic Crystals, Dielectric Loss and Quality Factor
Photonic Crystal All-Optical Switching: Mechanism of Photonic Crystal All-Optical
Switching, Optical Bistable Switching -Photonic Crystal Optical Switching Designing
photonic crystal for Application: designing a mirror, waveguide, cavity, waveguide bend,
splitter, nonlinear filters and bistability, photonic crystal logical devices

Reference Books
1. Qihuang Gong, Xiaoyong Hu, “Photonic Crystals Principles and Applications”, CRC
Press, 2013.
2. John D Joannopoulos, Steven G. Johnson, “Photonic Crystals- Moulding the flow of
light” 2nd edition, Princeton University Press, 2008.
3. Kazuaki Sakoda," Optical Properties of Photonic Crystals", Second Edition, Springer
4. C. Sibilia· T.M. Benson · M. Marciniak· T. Szoplik , “ Photonic Crystals: Physics and
Technology”, Springer 2008
5. Alessandro Massaro “Photonic Crystals – Introduction, Applications and Theory”,
InTech
6. Maksim Skorobogatiy, Jianke Yang , Fundamentals of Photonic Crystal Guiding,
Cambridge University Press 2009

Course Contents and Lecture Schedule

S. No Topics No. of
Lectures
1 Introduction to Photonic Crystals 1
1.1 Fundamental principles
2
1.2 Configurations of photonic crystals
1.3 Origination of photonic band gap 1
1.4 Characterization of Photonic Band gap 1
2 Photonic crystal configuration
2.1 One dimensional Photonic crystal
2.1.1 One-Dimensional All-Dielectric Photonic Crystals 3
2.1.2 One-Dimensional Metallodielectric Photonic Crystals
2.2 Two dimensional Photonic crystal
2.2.1 The multiple-scattering method
3
2.1.2 The plane wave expansion method
2.1.3 The Green function method
2.3 Three dimensional Photonic crystal
2.3.1 The plane wave based transfer matrix method
3
2.3.2 The group-theory-based plane-wave expansion Method
2.3.3 Lattice Structure Method
3 Fabrication Technique of Photonic Crystals
3.1 One Dimensional Photonic crystal
3.1.1 The Sol-Gel Method
3.1.2 The Spin-Coating Method 2
3.1.3 The Electrochemical Anodization Method

171
TCE - M.E. Degree (Communication Systems)

3.2 Two dimensional Photonic crystal


3.2.1 The Electron-Beam Lithography Method
3.2.2 The Nano imprint Lithography Method 2
3.2.3 The Electrochemical Etching Method
3.3 Three Dimensional Photonic crystal
3.3.1 The Direct Laser Writing Method
3.3.2 Holographic lithography method
3.3.3 The Self-Assembly Method 2
3.3.4 Vertical deposition method
4 Photonic crystal slabs
4.1 Rod and hole slabs 2
4.2 Defects in slab
4.2.1 Point defect in slab 3
4.2.2 Line defect in slab
4.3 Mechanismfor high Q with incomplete gaps 1
4.4 Defect Modes in Photonic Crystals 2
4.4.1 Dielectric Loss and Quality Factor 1
5 Photonic Crystal All-Optical Switching
5.1 Mechanism of Photonic Crystal switching
5.1.1 Photonic Band gap Shift Method 1
5.1.2 Defect Mode Shift Method
5.1.3 Optical Bistable Switching 1
5.1.4 Waveguide–Micro cavity Coupling Method 1
5.1.5 Waveguide coupling method 2
5.1.6 Nonlinear Chiral Photonic Crystal Optical Switching
5.2 Photonic Crystal Optical Switching
5.2.1 Photonic Crystal Electro-OpticSwitching 1
5.2.2 Photonic Crystal Thermal-OpticSwitching 1
5.2.3 Photonic Crystal All-Optical Switching 1
6 Designing photonic crystal for Application
6.1 Optical devices
6.1.1 Optical mirror, splitter 2
6.1.2 Waveguide, cavity 2
6.1.3 waveguide bend
6.1.4 Tunable photonic crystal fiber 2
6.3 All optical logic gates
6.3.1 AND gate 1
6.3.2 OR gate 2
6.3.3 XOR gate
6.4 All-Optical Flip-Flop 1
6.5 Photonic crystal sensors 2
Total 48
Course Designers:

2. Dr. S.Ponmalar [email protected]

172
TCE - M.E. Degree (Communication Systems)

173
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
14CNRA0 TELEHEALTH TECHNOLOGY
PE 4 0 0 4

Preamble
The Course aims at application of developments in wireless Technologies to the Health Field. Wearable
wireless monitoring devices for continuous monitoring of health conditions help a lot in patient health
care systems. This has paved the way for Telehealth technologies which contributes to the public health
scenario both in rural and urban areas.
Prerequisite
NIL
Course Outcomes
On the successful completion of the course, students will be able to
CO1.Match the concepts of Telemedicine in Health Monitoring. Understand
CO2.Apply multimedia technologies in telemedicine Apply
CO3.Illustrate the standards and Protocols behind encryption techniques for secure Understand
transmission of data
CO4.Categorize the various Mobile Telemedical technologies. Analyse
CO5.Identify the recent trends in Telemedical Scenario and how it is used in day to Apply
day applications

Mapping with Programme Outcomes


COs PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S M S L M M - M S M L
CO2 S M S L M M - M S M L
CO3 S S M L M M - M S M L
CO4 S S S L S M - M S M L
CO5 S S S L M M - M S M L
S- Strong; M-Medium; L-Low
Assessment Pattern
Continuous Assessment Tests
Bloom’s Category Terminal Examination
1 2 3
Remember 20 10 0 0
Understand 20 30 20 20
Apply 30 30 40 40
Analyse 30 30 40 40
Evaluate 0 0 0 0
Create 0 0 0 0

Course Level Assessment Questions


Course Outcome 1(CO1):
1. Define Telemedicine
2. List the organs of Telemedicine
3. State some of the ethical and legal practices of Telemedicine
4. Draw the functional Diagram of telemedicine system
5. List out some of the advances in Telemedicial technologies
Course Outcome 2 (CO2):
1. Identify the usage of Multimedia technologies in Telemedicine.
2. Interpret the applications of GSM in Telemedical applications.
3. Identify the various types of antennas used for Telemedical Transmission.
4. Explain how Mobile hand held device and mobile communication can be related to
Telehealth.
Course Outcome 3 (CO3):

174
TCE - M.E. Degree (Communication Systems)

1. Illustrate how telemedicine can be integrated with doctors and hospitals.


2. Summarize the various cyber laws related to Telemedicine.
3. Discuss about some of the protocols used for Telemedical applications.
4. Illustrate the need for Data security in Telehealth.
5. Classify the various phases of Encryption.
Course Outcome 4 (CO4):
1. Analyze the need of an Image acquisition system in Teleradiology.
2. Categorize the various multimedia databases.
3. Analyze how medical information is stored and managed.
4. Relate the function of a Pharmaceutical Information system
5. List the needs of Mobile Telemedicine.
Course Outcome 5 (CO5):
1. Identify the areas where Telemedicine can be deployed.
2. Apply the concept of robotic surgery in real time scenario and give its pros and cons.
3. Make use of the Telecardiology concept and explain how it can be used to treat a
patient from a remote distance.
4. Illustrate the need of Teleoncology and provide some of its applications in Health
care.
5. Identify the need of telesurgery in this modern era.

Concept Map

TELEMEDICINE
AND HEALTH

Telemedical Telemedical Mobile Telemedical


Technology Standards Telemedicine Applications

illustrate describes Categorize summarize

 Introduction to
 Principles of Multimedia  Protocols robotic surgery
 Tele radiology
 Air/Wireless  Video Conferencing  Telesurgery
 Image acquisition
Communication  Real Time  Tele cardiology
and display system
 Communication Telemedicine  Tele oncology
 Tele pathology
infrastructure for integrating Doctors  Telemedicine in
 Medical information
Telemedicine  Clinical Lab Data neuro sciences
storage and
 LAN and WAN  Radiological data  Electronic
management for
Technology etc.. documentation
telemedicine
 Internet Technology and  Administration of  E-health services
 Patient information
Telemedicine using centralized medical security and
medical history
WWW Data interoperability
 Pharmaceutical
 Clinical Data- LOCALIZED  Cyber laws related to
Information System  Project planning
and CENTRALIZED. telemedicine. andcosting

175
TCE - M.E. Degree (Communication Systems)

Syllabus
TELEMEDICINE AND HEALTH History and Evolution of telemedicine, Functional diagram of
telemedicine system, Telemedicine, Telehealth, Tele care, Organs of telemedicine, Global and Indian
scenario, Ethical and legal aspects of Telemedicine - Confidentiality, Social and legal issues, Safety and
regulatory issues, Advances in Telemedicine. TELEMEDICAL TECHNOLOGY Principles of Multimedia
- Text, Audio, Video, data, Data communications and networks, PSTN,POTS, ANT, ISDN, Internet,
Air/ wireless communications: GSM satellite, and Micro wave, Modulation techniques, Types of
Antenna, Integration and operational issues, Communication infrastructure for telemedicine – LAN and
WAN technology. Satellite communication. Mobile hand held devices and mobile communication.
Internet technology and telemedicine using world wide web (www). Video and audio conferencing.
Clinical data – local and centralized. TELEMEDICAL STANDARDS Data Security and Standards:
Encryption, Cryptography, Mechanisms of encryption, phases of Encryption. Protocols: TCP/IP, ISO-
OSI, Standards to followed DICOM, HL7, H. 320 series (Video phone based ISBN) T. 120, H.324 (Video
phone based PSTN), Video Conferencing, Real-time Telemedicine integrating doctors / Hospitals,
Clinical laboratory data, Radiological data, and other clinically significant biomedical data,
Administration of centralized medical data, security and confidentiality of medical records and access
control, Cyber laws related to telemedicine. MOBILE TELEMEDICINE Tele radiology: Definition, Basic
parts of teleradiology system: Image Acquisition system, Display system, Tele pathology, multimedia
databases, color images of sufficient resolution, Dynamic range, spatial resolution, compression
methods, Interactive control of color, Medical information storage and management for telemedicine-
patient information medical history, test reports, medical images diagnosis and treatment. Hospital
information system - Doctors, paramedics, facilities available. Pharmaceutical information system.
TELEMEDICAL APPLICATIONS Telemedicine access to health care services – health education and
self-care. · Introduction to robotics surgery, telesurgery, Telecardiology, Teleoncology, Telemedicine in
neurosciences, Electronic Documentation, e-health services security and interoperability, Telemedicine
access to health care services – health education and self-care, Business aspects - Project planning
and costing, Usage of telemedicine.

Reference Books:
1. Norris, A.C. “Essentials of Telemedicine and Telecare”, Wiley, 2002
2. Wootton, R., Craig, J., Patterson, V. (Eds.), “Introduction to Telemedicine. Royal
Society of Medicine” Press Ltd, Taylor & Francis 2006
3. O'Carroll, P.W., Yasnoff, W.A., Ward, E., Ripp, L.H., Martin, E.L. (Eds), “Public
Health Informatics and Information Systems”, Springer, 2003.
4. Ferrer-Roca, O., Sosa - Iudicissa, M. (Eds.), Handbook of Telemedicine. IOS Press
(Studies in Health Technology and Informatics, Volume 54, 2002.
5. Simpson, W. Video over IP. A practical guide to technology and applications. Focal
Press Elsevier, 2006. 5. Bemmel, J.H. van, Musen, M.A. (Eds.) Handbook of Medical
Informatics. Heidelberg, Germany: Springer, 1997.
6. Mohan Bansal, “Medical Informatics”, Tata McGraw-Hill, 2004

Course Contents and Lecture Schedule


Module Topic No. of Lectures
No.
1 Telemedicine and Health
1.1 History and Evolution of Telemedicine 1
1.2 Functional Diagram of Telemedicine system 1
1.3 Telemedicine, Telehealth, Telecare 2
1.4 Organs of Telemedicine 1
1.5 Ethical and legal aspects of Telemedicine 1
1.6 Advances in Telemedicine 1
2 Telemedical Technology
2.1 Principles of Multimedia – Text, audio, video, Data 3
2.2 Data communication and Networks – PSTN, POTS, ISDN, ANT 2
2.3 Air/Wireless Communications – GSM Satellite 1
2.4 Types of Antenna, Integration and operational issues 2
2.5 Communication Infrastructure for Telemedicine – LAN and WAN 1

176
TCE - M.E. Degree (Communication Systems)

technology
2.6 Internet technology and telemedicine using world wide web (www). 1
2.7 Video and Audio Conferencing, Clinical Data – Localized and 1
Centralized
3. Telemedical Standards
3.1 Data encryption and standards 2
3.2 Protocols: TCP/IP, ISO-OSI 1
3.3 Video Conferencing 1
3.4 Real-time Telemedicine integrating doctors / Hospitals 1
3.5 Clinical laboratory data, Radiological data, and other clinically 2
significant biomedical data
3.6 Administration of centralized medical data 1
3.7 security and confidentiality of medical records and access control 1
3.8 Cyber laws related to telemedicine. 1
4 Mobile Telemedicine
4.1 Teleradiology 1
4.2 Telepathology 1
4.3 Dynamic range, spatial resolution 1
4.4 Medical information storage and management for telemedicine 1
4.5 Patient information medical history, test reports 1
4.6 Medical images diagnosis and treatment. 1
4.7 Hospital information system - Doctors, paramedics, facilities available 1
4.8 Pharmaceutical information system 1
5 Telemedical Applications
5.1 Telemedicine access to health care services 1
5.2 Health education and Self-Care 1
5.3 Introduction to robotics surgery 1
5.4 Telesurgery, Telecardiology, Teleoncology 1
5.5 Telemedicine in neurosciences, Electronic Documentation 2
5.6 e-health services security and interoperability 1
5.7 Telemedicine access to health care services 1
5.8 Project planning and costing, Usage of telemedicine. 1
Total 45

Course Designers:
3. Dr. (Mrs.) R. Sukanesh [email protected]

177
TCE - M.E. Degree (Communication Systems)

Category L T P Credit
RADIO FREQUENCY INTEGRATED CIRCUIT
14CNRB0
SYSTEM DESIGN PE 3 1 0 4

Preamble
This course introduces the principles, analysis, and design of CMOS Radio frequency (RF) integrated
circuits for wireless communication systems. Besides system level design considerations for RFIC, this
course also presents rule-of-thumbs in designing RF main blocks such as Low-Noise-Amplifier (LNA),
mixer, Voltage-Controlled-Oscillator (VCO), and Phase-Locked-Loop (PLL). Students are supposed to
understand architectures of RF system and master the keypoint of designing RF Integrated circuits.
They are also required to design circuits and do simulation with Cadence SpectreRF
Prerequisite
Digital Integrated Systems
Course Outcomes
On the successful completion of the course, students will be able to
CO1 Understand the fundamentals of RF integrated circuits operating at Radio Understand
Frequencies
CO2 Discuss active and passive device technologies relevant to RFICs and their Apply
relative performance advantages and disadvantages
CO3 Design and Implementation of Low Noise Amplifier based on foundry Apply
models for Wireless Communication Systems
CO4 Design and Implementation of Power amplifier for portable applications Apply
CO5 Design and analyze different types of Phase Locked Loops. Analyse
CO6 Analyse the RF mixer circuit based on noise figure, conversion gain and Analyse
implementation in CMOS technology
Mapping with Programme Outcomes
Cos PO1 PO2 PO3 PO4 PO5 PO6 PO7 PO8 PO9 PO10 PO11
CO1 S L - - - M - S - - -
CO2 S S M L S - - - - - -

CO3 S S M M S M M M M M M
CO4 S S M L S M M M M M M
CO5 S S M L S M M M M M M
CO6 S S M L S M M M M M M
S- Strong; M-Medium; L-Low
Assessment Pattern
End Semester
Continuous Assessment Tests
Examinations
Bloom’s category
1 2 3
Remember 20 20 10 0
Understand 40 30 10 20
Apply 40 50 60 60
Analyze 0 0 20 20
Evaluate 0 0 0 0
Create 0 0 0 0

178
TCE - M.E. Degree (Communication Systems)

Course Level Assessment Questions


Course Outcome 1 (CO1):
1. Distinguish between a heterodyne receiver and homodyne receiver.
2. Define: ACPR.
3. List out the various circuit level parameters used in RFIC.
Course Outcome 2 (CO2):
1. Determine the quality factor of the tank with respect to R, C, and L.

2. The mean square thermal noise density of a resistor in the room temperature is . If this
resistor is used in a first-order RC filter as shown in Fig. 1, and the noise bandwidth of the RC
filter is , calculate the value of C in Fig.1. Present the details of your calculations.
33£10¡17V2=Hz50MHz.

3. Explain the different choices of reaiization of RF inductors and capacitors in CMOS


technology. Why these are different in compared to the conventional lumped component
inductors and capacitors.

Course Outcome 3 (CO3):


1. Figure shows a two-stage amplifier schematic. Determine the noise factor of this amplifier.
Consider only the thermal noise sources and ignore the gate noise of the transistors. Ignore
all the parasitics and assume that the transistors are long-channel devices and λn =0.

2. A common-source low noise amplifier (LNA) with feedback is shown in Fig. is the input source
resistance. Assume that the transistors are long-channel devices and

3. Consider the wideband common-gate low noise amplifier (LNA) shown in Figure is the input
source resistance. Assume that the transistors are long-channel devices with . Also assume
that γbody effect = 0.

179
TCE - M.E. Degree (Communication Systems)

a. Calculate the input impedance of the LNA. Assume that we can neglect all parasitic
associated with the transistors.
b. Derive an expression for the noise figure of the LNA. Only consider the thermal noise
sources and ignore the gate noise of the transistors. Also assume that is a noiseless
resistor.

Course Outcome 4 (CO4):


1. The following table lists three different properties for the A, B, C, D, and E power amplifier
classes and their typical values. Identify the power amplifier class for each column.

2. What are the performance trade-offs when choosing this Vg,bias-value?


3. What is the purpose of a ”load-pull characterization” of a power amplifier?

Course Outcome 5 (CO5):


1. For the frequency-multiplying PLL shown below, determine the:
a. closed-loop transfer function
b. damping factor δ
c. natural frequency ωn
d. loop bandwidth

2. Explain how a type-I PLL operates as a FSK demodulator, if the VCO control voltage is
considered as the output.
3. Figure shows the waveforms of PFD and charge pump in a type-II PLL. Using this figure,
determine the transfer function of this combination.

180
TCE - M.E. Degree (Communication Systems)

Course Outcome 6 (CO6):


1. A single-balanced mixer is shown in Fig. Assume that the switching transistors M1 and M2
are ideal switches with zero on-resistance and .

a. Derive an expression for the conversion gain of this mixer.


b. Derive an expression for the noise figure of this mixer. Assume the switching
transistors do not generate noise. The total noise is contributed by transistor M3, load
resistors R and source resistor Rs connected to the RF input (is not shown in the
figure). Consider only the thermal noise sources and ignore the gate noise of the
transistor.
2. The circuit shown in Fig. is a dual-gate mixer used in traditional microwave design.
Assume abrupt edges and a 50% duty cycle for the LO, and neglect channel-length
modulation and body effect.

a. Assume that M1 is an ideal switch. Determine all the frequency components which
appear at the mixer IF port.
b. Assume when M1 is on, it has an on-resistance of Ron1. Compute the voltage
conversion gain of the circuit. Assume M2 does not enter the triode region and
denote its transconductance by gm2.
c. Assume that M1 is an ideal switch (noise contribution is zero). Derive the expression
for the noise figure of the mixer.
3. Prove that the voltage conversion gain of a sampling mixer approaches 6 dB as the width
of the LO pulses tends to zero (i.e., as the hold time approaches the LO period).

181
TCE - M.E. Degree (Communication Systems)

Concept Map

Syllabus
Introduce RFIC Design Basics: Historical Aspects – From Maxwell to Current Wireless standards,
The bridge between communication system designer and RF IC Designer: Comm. System
characterization, RF System characterization, Transceiver Architectures, System-level parameters:
error probability, SNR, tolerance to interferers, ACPR, sensitivity, Circuit-level parameters: gain,
linearity, noise figure, power. The CMOS technology for RF: MOS models for RF, Characteristics of
passive IC components at RF frequencies – interconnects, resistors, capacitors, inductors and
transformers Transmission lines Classical two-port noise theory, Noise models for active and passive
components. Low Noise Amplifier: Tuned Low-Noise Amplifiers, Other LNA topologies, Design of
LNA using Cadence SpectreRF. Power Amplifier: Stability of feedback systems: Gain and phase
margin, Root-locus techniques, Time and Frequency domain considerations, Compensation, Class A,
AB, B, C, D, E and F amplifiers, Design of Power amplifier using Cadence SpectreRF. Phase-
Looked Loop: Resonant LC-CMOS VCO design, Other VCO topologies: QVCOs Linearized PLL
Model, Loop filters and Charge pumps, Integer-N frequency synthesizers, Direct Digital Frequency
synthesizers, Design of Phase Locked Loop and performance analysis using Cadence SpectreRF,
Hardware Demos of PLL chipset. Mixer: Active mixers, Passive and polyphase filters, Design of a
mixer based on a Gilbert cell using SpectreRF, Hardware Demos of Mixer.
Reference Books:
nd
1. Behzad Razavi, RF Microelectronics, 2 Ed., Prentice Hall, Reprint 2012.
2. Thomas. H. Lee, The Design of CMOS Radio Frequency Integrated Circuits, Cambridge, U.K.,
Cambridge University Press, 2004.
nd
3. John W.M.Rogers and Calvin Plett, “Radio Frequency Integrated Circuit Design”, 2
Edition,Artech House, Norwood, 2010.
4. Devendra.K. Misra, “Radio Frequency and Microwave Communication Circuits – Analysis and
Design”, John Wiley and Sons, Newyork, 2004.
5. Wayne Wolf, Modern VLSI design, Pearson Education, 2003

Course Contents and Lecture Schedule

182
TCE - M.E. Degree (Communication Systems)

Module Topic No.of


No Lectures
1 Introduce RFIC Design Basics
1.1 Historical Aspects – From Maxwell to Current Wireless standards 1
1.2 The bridge between communication system designer and RF IC 1
Designer: Comm. System characterization, RF System
characterization
1.3 Transceiver Architectures 1
1.4 System-level parameters: error probability, SNR, tolerance to 2
interferers, ACPR, sensitivity
1.5 Circuit-level parameters: gain, linearity, noise figure, power 2
2 The CMOS technology for RF
2.1 MOS models for RF 2
2.1 Characteristics of passive IC components at RF frequencies – 3
interconnects, resistors, capacitors, inductors and transformers
2.3 Transmission lines Classical two-port noise theory, , 2
2.4 Noise models for active and passive components 3
3 LNA Design
3.1 Tuned Low-Noise Amplifiers 2
3.2 Other LNA topologies 2
3.3 Specific analysis for RF using Cadence SpectreRF. 3
4 Power Amplifier
4.1 Stability of feedback systems: Gain and phase margin, Root-locus 2
techniques, Time and Frequency domain considerations,
Compensation
4.2 Class A, AB, B, C, D, E and F amplifiers 3
4.3 Design of Power amplifier using Cadence SpectreRF 3
5 Oscillators & Synthesizers
5.1 Resonant LC-CMOS VCO design 1
5.2 Other VCO topologies: QVCOs 1
5.3 Linearized PLL Model 1
5.4 Loop filters and Charge pumps 2
5.5 Integer-N frequency synthesizers, Direct Digital Frequency 2
synthesizers.
5.6 Design of an Oscillators & Synthesizers and performance analysis 2
using Cadence SpectreRF
5.7 Hardware Demos of PLL chipset 1
6 Mixer Design
6.1 Active mixers 1
6.2 Passive and polyphase filters 2
6.3 Design of a mixer based on a Gilbert cell using SpectreRF 2
6.4 Hardware Demos of Mixer 1
Course Designers:
1. Dr.S.Raju [email protected]
2. Dr.D.Gracia Nirmala Rani [email protected]

183

You might also like