0% found this document useful (0 votes)
47 views16 pages

Siemens SW MBMW Spie2021 84530

Uploaded by

catenane.opk
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
47 views16 pages

Siemens SW MBMW Spie2021 84530

Uploaded by

catenane.opk
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 16

Siemens Digital Industries Software

Curvilinear data format


working group for the
MBMW era

Executive summary
This paper describes the need for curvilinear data representation for multi-
beam mask writers (MBMW) data format. It introduces a format working
group, our progress so far, and our future plans.

Jin Choi, Ryu Soo, Sukho Lee, Minah Kim, JoonSoo Park
SAMSUNG Electronics Co Ltd,

Peter Buck, Ingo Bork, Bhardwaj Durvasula, Sayalee Gharat, Nageswara Rao
Siemens Digital Industries Software

siemens.com/eda
'Curvilinear data format' working group for MBMW era
a
Jin Choi, aRyu Soo, aSukho Lee, aMinah Kim, aJoonSoo Park, bPeter Buck, cIngo Bork, dBhardwaj
Durvasula, , dSayalee Gharat, dNageswara Rao
a
SAMSUNG Electronics Co Ltd, San #16 Bangwol-Dong, Hwasung-City, Gyeonggi-Do 445-701
Korea;
b
Siemens Digital Industries Software, 8005 S.W. Boeckman Rd. Wilsonville, OR 97070;
c
Siemens Digital Industries Software, 46871 Bayside Parkway, Fremont, CA 94538
d
Mentor – a Siemens Business Nalapad Brigade Centre, Unit No: 301 & 302, 3rd Floor Garudachar
Palya, Mahadevapura Bengaluru Karnataka 560048 India

ABSTRACT

Multi-beam mask writers (MBMW) offer the potential to enable the use of ideal curvilinear shapes for ILT masks, but
current layout formats are not sufficient to represent complex ILT designs efficiently from OPC through mask making. In
the 2019 BACUS conference, we proposed the formation of a data format working group to address the need for curvilinear
data representation for MBMW.1 The Curvilinear data format working group was first initiated in October 2019 with
participation from EDA companies and advanced mask makers. In this paper, the necessity of a new curvilinear data format
and our working group will be introduced. We will discuss the progress and the plan of the working group.

Keywords: Photomask, Multi-beam mask writer, Data format, EUV, ILT

1. INTRODUCTION
The SEMI standard P39 OASIS – Open Artwork System Interchange Standard was introduced in 2004 as a replacement
for GDSII to describe integrated circuit layouts in an electronic data format for consumption by EDA software and
photomask manufacturing tools2,3,4,5. The new format description was initiated at a SEMI-sponsored EDA Workshop July
2001 in San Francisco, California. A working group was formed which met at SEMI headquarters November 2001 with
representation from major EDA suppliers, mask makers and IC manufacturers. The top problems to solve with the new
format were identified by a multi-vote of the working group (Figure 1). These included layout data volume due to the

Figure 1: OASIS Working Group multi-vote on stream data format criteria importance
introduction of Optical Proximity Correction (OPC) and the desire for an extensible format since future requirements could
not always be anticipated up front. Curvilinear shape support was recognized but not directly addressed. A generalized
mask data format was not considered to be very important but subsequently the SEMI P44 OASIS.MASK format was
created. The format that resulted from this industry collaboration addressed data volume through the use of point lists,
modality, a variable integer length, coordinate offsets, an implied last coordinate and other innovations. The format was
made 64-bit compatible to address smaller database units (DBU) and full mask layouts. The format was made extensible
through the use of user-defined “x-records” and through the revision process as an active SEMI format.

Figure 2 SEMI P39 & P44 implementation roadmap

A new working group was formed in late 2019 driven by Samsung, TSMC, and Intel with representation from Mentor,
Synopsys, Nippon Control Systems, D2S, Aselta, and ASML-BRION with the initial goals to quantify the curvilinear data
volume problem; develop, test and implement new or revised formats based on OASIS; and to formalize the working
group as a SEMI task force. Formal documentation to create the task force has been submitted to SEMI and is expected to
be reviewed at the next meeting of the North America Chapter of Microlithography Global Technical Committee.
1.1 History and value of curvilinear OPC
The value of curved shapes over rectilinear shapes was illustrated by Fernandez Guasti in 1993 and Chris Mack in 2000
by comparing the diffraction images of square holes with varying degrees of corner roundness. As corner roundness

Design data with same area


k2 = k1 4/ ILT design of circular pattern has
Design data better NILS and CD uniformity
(Rectilinear (Max. 15% improvement
shape) k1 k2 in case of dense array)

Mask pattern Bessel


(Corner rounded function
shape) k1 k2
Sinc
ex) S function
Aerial image
(Diffraction
image)
(Spatial Frequency) x (Nominal contact width)

Figure 3
increases the diffraction image transforms from a Sinc function to a Bessel function. Comparing design data with the same
area, the contrast and edge slope of a circular shape is improved over that of a square shape, affording up to 15%
improvement in NILS and CD uniformity.

Advances in OPC through the introduction of Inverse Lithography Technology, which creates free-form shapes instead of
rectilinear shapes, once again puts pressure on data volume and file size for which the industry would like new solutions.
ILT OPC was introduced by Luminescent in 20066 as a method to achieve improved process window but requires
curvilinear shapes.

Figure 4 Luminescent curvilinear design, mask, and wafer shapes


Effort was made to simplify the curvilinear mask shape but in 2009 Samsung & Luminescent demonstrated that the value
of ILT was diminished with the level of simplification applied and that true curvilinear representation was important to
extract the greatest value from ILT OPC.7

Figure 6 Test layouts to evaluate mask simplification impact on write time and wafer process window

Figure 5 Wafer depth of focus and mask writer shot count as a function of curvilinear OPC simplification

OPC and Mask Process Compensation (MPC) methods were developed that output curvilinear shapes approximated by
piecewise linear polygons where accuracy and data volume is determined by the number of vertices used to define each
polygon. However, the general use of curvilinear shapes could not be immediately realized with the existing Vector Shaped
Beam (VSB) mask writers which construct patterns on the mask from discrete exposures of rectangles and right triangles.
Since VSB write time is directly related to pattern complexity, VSB write times for curvilinear mask patterns was generally
unacceptable to achieve reasonable mask cost and cycle times. However, the recent introduction of Multi-beam Mask
Writers (MBMW)8 where raster scan methods enable write time independent of data complexity have now made ILT OPC
and the resulting curvilinear mask shapes a practical reality which drives the urgency for a data volume solution.
1.2 Current status of curvilinear and MBMW at Samsung
Mask manufacturing represents the first physical manifestation of design intent. The design is rendered for mask
manufacturing including scaling, MPC, sizing, rotation, mirroring, placement in the mask layout, fracturing to a mask
exposure tool (“writer”) format and finally exposed in resist on the mask. The format in which the data is delivered to
mask manufacturing is important to the efficiency of data transfer, data manipulation, and mask writing itself. Critical
masks have by and large been manufactured using electron beam (“e-beam”) writing technology. Several kinds of mask
writers have been proposed and developed. While many approaches to e-beam lithography have been proposed9, the
dominant technology used in production for the last 30 years has been Variable Shaped Beam (VSB). Write time for VSB
is directly a function of the number of exposure “shots” required to compose the mask pattern, which means that write
time becomes longer with increasing mask pattern complexity. VSB writer manufacturers have successfully been able to
keep up with increasing mask pattern complexity by increasing beam current, which reduces the per shot exposure time,
and by reducing system overhead times. However the data volume explosion due to the increase in curvilinear OPC
methods has caused VSB writers to have reached their practical limits and have given rise to multi-beam technology.
Multi-beam Mask Writers (MBMW) make use of raster scanning exposure strategies to achieve exposure rate independent
of data complexity and multi-beam parallelism to achieve high areal exposure rate combined with high precision edge
placement. Raster scan mask writing was introduced by the Bell Labs EBES tool10 which was successfully commercialized
by ETEC11. Multi-beam mask exposure was introduced by ATEQ12 with linear arrays of 8 or 32 beams for use with laser
exposure systems. Two-dimensional exposure element arrays was introduced by Micronic with their Spatial Light
Modulator technology13. IMS and NuFlare14 have both solved how to create two-dimensional arrays of electron beam-lets
to enable massively parallel e-beam exposure systems. Given their high rate of exposure, high precision due to the MEMs
technology used to manufacture the aperture arrays, and independence of write time to pattern complexity these modern
mask writers are well-suited to enable the practical use of curvilinear OPC methods.

Cumulative total
4.0 beam intensity

3.5
Pixel data
3.0

2.5
Individual
2.0
beam intensities
1.5
physical grid
1.0

0.5 blur
0.0
-20.0 -10.0 0.0 10.0 20.0 30.0 40.0 50.0 60.0
Figure 7 Illustration of multi-beam raster scan gray-scale writing strategy
Figure 8 Examples of curvilinear mask layouts and corresponding mask SEM images written with MBMW
Mask complexity has grown significantly over time as shown in figure 9, which compiles mask data volume at Samsung
from the single patterning era, where there was a strong relationship between data volume per mask vs time due to the
continuing miniaturization and increase in density driven by Moore’s Law, through the multi-patterning era where the
increase in mask volume increased but data volume per mask was limited by k1 at 193 nm exposure wavelength to the
continuation of data volume ramp per mask with the introduction of single patterned EUV. The increase in data volume

In case of
Single patterning era Multiple patterning era EUV era
EUV ILT

5x increase
Mask data size (log scale)

by EUV

(Non zero)
Years
Figure 9 Mask data volume over time at Samsung, illustrating the impact of single and multi-patterning eras and the
increases caused by EUV and anticipated by curvilinear OPC.
per mask for EUV compared to DUV multi-patterning is observed to be 5X with an even greater increase anticipated with
the introduction of EUV curvilinear OPC.

2. EVALUATION OF CURVILINEAR LAYOUT APPROACHES


2.1 Implementation challenges of curvilinear layouts
Curvilinear intent is approximated in P39 using piece-wise linear representation to form polygons. The number of edges
used to represent the curvilinear intent is optimally determined by the accuracy required by downstream processes. Too
many edges increases data volume and execution time (e.g., OPC, MPC, Fracture), but too few edges reduces accuracy.
Tools that create curvilinear output are not necessarily aware (today, at least) of the downstream requirements so it is easy
to produce more edges than are necessary. Mask lithography and process capability limits the resolvable jog size and
resolvable convex and concave corner radius. Tools upstream from mask data prep need to recognize and adhere to mask
MRC rules and MRC validation needs to consider these limits. Data operations can introduce jogs and discontinuities in
curvilinear representation. While the intent of a jog in rectilinear data is often evident, this is not always the case in
curvilinear data. The intent needs to be interpreted - are the jogs intended or spurious? EDA tools are optimized for
Manhattan and 45-degree edges. Operations such as sizing, Boolean transformations, and metrology need to be enhanced
for skew edges. Ultimately the mask writer, Die to database (D2DB) mask inspection tools and mask repair tools need to
consume curvilinear data and rasterize. These tools need to consume and operate on curvilinear data efficiently. If tool-
specific fracture formats are required they must not lose curvilinear intent or create data volume related bottlenecks.
Alternate forms to represent curvilinear data such as polynomial or spline-based approaches need to be either natively
supported or transformations to and from curvilinear to piece-wise linear need to be lossless. Finally, the industry needs
to agree to support any new curvilinear constructs proposed.
2.2 Overview of potential solutions
To explore the feasibility to address the data volume issue with curvilinear data we consider four compaction approaches.
The first two are quadratic Bezier and B-spline curve-fitting methods. Quadratic Bezier curves are defined by 3 control
points. A curvilinear polygon is represented by a series of Bezier curves joined end to end. A control polygon represents
the series of control points that define the curvilinear polygon. Quadratic B-Spline curves are piecewise curves where each
component can be represented by a 2nd degree polynomial. Bezier and B-spline curves differ in several ways. B-spline
curves transition smoothly from subinterval to subinterval. The number of control points for Bezier curves is limited to
p+1 while B-splines can have a larger number of control points. In this work we use quadratic B-spline curves with a
uniform knot vector.

Figure 10 Bezier curve, curvilinear polygon with control polygon, B-spline curve

Since Bezier and B-spline curves cannot be directly interpreted with conventional EDA software or written with current
mask lithography tools, the method we have chosen to evaluate these approaches is to first fit the Bezier or B-spline curve
to the input polygon and represent the result with a control polygon. XGEOMETRY records in P39 are used to write the
control polygon along with other information to a file. Different error tolerances are used in the curve fitting to examine
the impact on compaction as well as accuracy on the written mask. A utility is then used to write the file back to
conventional P39 using fine sampling of the Bezier and B-spline curves to ensure accurate representation.

Polygon to Bezier Bezier to Polygon

Figure 11 Curvilinear evaluation approach

Bezier B-spline

Figure 12 Examples of Bezier and B-spline curve fitting with control polygons shown

One example of a classical polygon simplification approach, this one using the Visvalingam-Whyatt algorithm, was tried.
Given a polygon, the algorithm attempts to find a similar polygon composed of fewer points. This approach achieves file
size compaction by collapsing some of the curvilinear polygon vertices. Area change criteria is taken as input and vertices
whose effective area is less than the area change criteria are removed. An EPE error tolerance can also be specified.
Polygon simplification has the advantage that polygons can still be represented by P39 without any format specification
change.

Polygon Simplification

Figure 13 Visvalingam-Whyatt polygon simplification

The fourth approach we are calling Curvature Based Fragmentation, or “CBF”. The CBF approach attempts to co-optimize
file size, accuracy, and MPC execution time. One factor that affects MPC time is the number of fragments that need to be
moved. The optimum fragment length is related to the local curvature and the overall mask process blur. High acuity mask
processes with high curvature corners require smaller fragments while low acuity mask processes and gentle curves or
straight edges can be accurately represented by longer fragments. Therefore, CBF generates shorter edges at high curvature
regions and longer edges at low curvature regions. Similar to the other methods described, an error tolerance can be
specified to further tune the output characteristics. It should be noted that the degree of file size compaction achieved
depends to some extent on the ability of the OPC tool to produce optimal output. Present a data flow diagram showing the
complete flow from RET/Mask Synthesis through MPC, Fracture, and writing along with the impact on data representation
and size.
Input Polygon (vertices highlighted) Output Polygon (vertices highlighted)

High curvature Low curvature


Figure 14 Curvilinear Based Fragmentation example

2.3 Evaluation set up


Fourteen curvilinear test layouts were provided by Samsung, derived from advanced logic and DRAM device layers.
Siemens converted these to magnified files at different scale factors to explore compaction value as a function of pattern
scale. Using the methods described earlier, all four compaction methods were applied and output at various error
tolerances. A EUV mask was manufactured by Samsung, mask CDs and SEM images from six of the layouts were sampled,
and contours were extracted from the SEM images. Typical dimensions from the sampled images ranged from 50 nm to
350 nm at 4X mask scale.

Rectangle with Wiggle 01 Wiggle 03 SRAF


Dumbbell Rectangle with ears rounded corners
0.05 ~ 0.35µm 0.3µm 0.05 ~ 0.1µm 0.05 ~ 0.1µm ~0.05µm 0.02~0.16µm
Figure 15 Test patterns evaluated with four curvilinear compaction approaches

Compaction technique Magnifications Error Criteria used

Bezier Curve Fitting All 0.1nm, 0.5nm, 1nm, 2nm


B-Spline Curve Fitting 2x 0.5nm, 1nm, 2nm
Polygon Simplification All 0.1nm, 0.5nm, 1nm, 2nm

Curvature Based Fragmentation 2x, 4x, 6x 1nm


Figure 16 Matrix of compaction technique, magnification, and error tolerance used to produce output for evaluation

2.4 Results
Figures 17 and 18 summarize the reduction factors achieved for each of the 14 patterns at 3 error tolerances using the
Bezier and B-spline compaction approaches. Compaction varies with pattern shape and complexity with almost no
compaction for some patterns and up to 6X for B-spline and up to 8X for Bezier, depending on the error tolerance specified.
Reduction in OASIS file size with Quadratic B-Spline
9.00
representation in XGEOMETRY records
8.00
Reduction (X times)

7.00
6.00
5.00
4.00
3.00
2.00
1.00
0.00

Error tolerance 0.5nm Error tolerance 1nm Error tolerance 2nm

Figure 17

Reduction in OASIS file size with Quadratic Bezier


representation in XGEOMETRY records
9.00
8.00
Reduction (X times)

7.00
6.00
5.00
4.00
3.00
2.00
1.00
0.00

Error tolerance 0.5nm Error tolerance 1nm Error tolerance 2nm

Figure 18
Figure 19 shows compaction results for the Curvature Based Fragmentation approach for two different pattern scale
factors. As expected, larger patterns do not achieve the same level of compaction. Simply scaling the fragment sizes is not
possible since the minimum required fragment size is dependent on the mask model. However, compaction of 2X-3X is
demonstrated for typical advanced node layout pattern sizes. Practical benefits of CBF include immediate availability since
no new format is required as well as the potential to minimize MPC execution time.

Reduction in OASIS file size with Curvature Based


Fragmentation
3.50
Reduction (X times)

3.00
2.50
2.00
1.50
1.00
0.50
0.00

2X 4X

Figure 19

Summarizing the file compaction results, on average, Bezier and B-spline provide the greatest opportunity for file size
reduction especially at larger error tolerances. At 1 nm error tolerance, average compaction ranges from 1.99X for CBF
to 2.72X for Bezier. CBF fragmentation is based on the MPC model. Smaller fragments do not improve accuracy but
increase data volume and MPC execution time. Larger fragments can reduce data volume and MPC execution time but at
the potential expense of accuracy. Both Bezier and B-spline methods require changes to the layout data format and
conversion to polygons to use conventional data processing code as well as mask manufacturing. Over time it is
conceivable that OPC, MPC, Fracture and mask writer software could change to directly use Bezier/B-spline
representation. General and MPC-specific (CBF) polygon simplification methods have the immediate advantage of not
requiring new format introduction but have more modest compaction results. General polygon simplification techniques
like Visvalingam-Wyatt tend to make convex regions smaller and concave regions larger resulting in mean CD shifts.
Certain layout shapes, such as ellipses, achieve significantly higher compaction with Bezier or B-spline approaches.
Average File Size Compaction vs Error Tolerance
3.50

3.00
Compaction Factor

2.50

2.00

1.50

1.00
0 0.5 1 1.5 2 2.5
Error Tolerance (nm)

Bezier B-spline Polygon Simplification CBF

Figure 20 Summary of file compaction results for four different approaches as a function of error tolerance

All patterns were converted to all four types of curvilinear representation and exposed with the IMS MBMW. Contours,
were extracted from SEM images of the mask patterns and output as GDS layers. Critical Dimension (CD)
measurements were made from the contours and compared to CD measurements from reference patterns written without
curvilinear compaction. CD average and RMS edge position error were calculated and normalized to the original input
pattern CD statistics.

Dumbbell Rectangle with ears Rectangle with Wiggle 01 Wiggle 03 SRAF


rounded corners ~0.05µm
0.05 ~ 0.35µm 0.3µm 0.05 ~ 0.1µm 0.02~0.16µm
0.05 ~ 0.1µm
Figure 21 Contours (in red) used for metrology shown overlaid on mask SEM images

Six of the fourteen test layouts printed on the mask with twelve combinations of curvilinear representation and fitting
error tolerance were evaluated for CD control. EPE average (figure 22) and RMS (figure 23) are shown here, normalized
by the values from the reference layouts. Despite some sensitivity to specific pattern layouts, all formats are comparable
to the reference layouts in terms of patterning accuracy.
1.50
1.00
0.50
0.00
1nm 0.5nm 1nm 2nm 0.1nm 0.5nm 1nm 2nm 0.1nm 0.5nm 1nm 2nm
CBF Quadratic B-Spline Quadratic Bezier Simplified

Dumbbell_01_HOR RectEar_02 Rounded_Rect_03 Wiggle_01 Wiggle_03 PatternSRAF_02

Figure 22 EPE average relative to reference as measured on the mask

1.5
1
0.5
0
1nm 0.5nm 1nm 2nm 0.1nm 0.5nm 1nm 2nm 0.1nm 0.5nm 1nm 2nm
CBF Quadratic B-Spline Quadratic Bezier Simplified

Dumbbell_01_HOR RectEar_02 Rounded_Rect_03 Wiggle_01 Wiggle_03 PatternSRAF_02

Figure 23 EPE RMS relative to reference as measured on the mask

To more clearly compare the four curvilinear approaches and understand the impact of fitting error tolerance, the results
were averaged across all size layouts evaluated. All approaches show similar average and RMS EPE increase compared
to the baseline. Error tolerance does not significantly impact EPE within the range tested and for this mask process. The
additional compaction afforded by Bezier and B-spline could be available without sacrificing accuracy. Validation of
wafer process window is desirable to narrow the approaches and determine allowable error tolerance.

Compaction (nX) AVG EPE (nm) RMS EPE (nm)


Polygon Polygon Polygon
Bezier B-spline Simplify CBF Bezier B-spline Simplify CBF Bezier B-spline Simplify CBF
Tolerance

0.1 nm 1.1 1.2 1.0 0.8 1.0 1.1


Error

0.5 nm 2.2 1.9 2.0 0.9 0.9 0.8 1.0 1.1 1.2
1.0 nm 2.7 2.4 2.3 2.0 1.0 0.9 0.7 1.0 1.1 1.1 1.1 1.0
2.0 nm 3.3 3.0 2.4 0.9 0.8 0.6 1.1 1.1 1.1
Figure 24 Summary of compaction, average EPE and RMS EME as a function of compaction approach and error
tolerance applied during compaction.

Implementing new curvilinear formats needs to occur over time. Here are three flows that represent one approach to
consider. In flow 1, OPC produces CBF that is OPC-verified. MPC can use the OPC output directly as the MPC target –
no unverified conversion step is required. MPC writes CBF which maintains CBF compaction advantages to the writer.
No writer changes are required. No format changes are required.

Figure 25 Flow 1: CBF is introduced as exchange format from OPC to mask write.
Flow 2 is the same as flow 1 except that MPC outputs B-spline fracture data. Again, no change to P39 required, however
the fracture format and mask writer need to support B-spline.

Figure 26 Flow 2: B-spline introduced between MPC and mask write

In flow 3, OPC outputs verified B-spline, gaining compaction value over CBF. MPC reads and writes B-spline.
Enhancements to P39 are required to implement this flow and gain the greatest compaction value.

Figure 27 Flow 3: Complete flow supports B-spline.

3. SUMMARY, CONCLUSIONS, PATH FORWARD


Managing data volume for full-layout curvilinear OPC is a significant challenge now that multi-beam mask writers are
available to produce complex curvilinear masks with reasonable write times. Various approaches to curvilinear data
compaction have been examined with average compaction of 2X to 8X across a wide range of pattern shapes. It may be
possible to achieve further compaction if OPC tools can utilize patterns easily represented by B-splines. Mask metrology
confirms that mask EPE impact is limited to about 1 nm with conversion error tolerances of up to 2 nm. The CBF approach
improves MPC cycle time by as much as 35%. A roadmap for implementation is proposed. In the near future we hope to
improve B-spline compaction, confirm wafer process windows, and continuing to work with the EDA and user community
to develop consensus on approach and standards for new formats.

REFERENCES

[1] Jin Choi, Jonggul Doh, Minah Kim, and Chan Uk Jeon "Requirements of data technology for EUV photomask",
Proc. SPIE 11148, Photomask Technology 2019, 111480F (26 September 2019);
https://doi.org/10.1117/12.2538411
[2] Patrick LaCour, Alfred J. Reich, Kent H. Nakagawa, Steffen F. Schulze, and Laurence Grodd "New stream format:
progress report on containing data size explosion", Proc. SPIE 5042, Design and Process Integration for
Microelectronic Manufacturing, (10 July 2003); https://doi.org/10.1117/12.485260
[3] Alfred J. Reich, Kent H Nakagawa, and Robert E. Boone "OASIS vs. GDSII stream format efficiency", Proc. SPIE
5256, 23rd Annual BACUS Symposium on Photomask Technology, (17 December 2003);
https://doi.org/10.1117/12.518271
[4] Steffen F. Schulze, Pat LaCour, and Laurence Grodd "OASIS-based data preparation flows: progress report on
containing data size explosion", Proc. SPIE 5379, Design and Process Integration for Microelectronic
Manufacturing II, (3 May 2004); https://doi.org/10.1117/12.535683
[5] Steffen F. Schulze, Kent H. Nakagawa, and Peter D. Buck "OASIS: progress on implementing the new stream
format for containing data size explosion", Proc. SPIE 5504, 20th European Conference on Mask Technology for
Integrated Circuits and Microcomponents, (2 June 2004); https://doi.org/10.1117/12.568027
[6] Daniel S. Abrams and Linyong Pang "Fast inverse lithography technology", Proc. SPIE 6154, Optical
Microlithography XIX, 61541J (15 March 2006); https://doi.org/10.1117/12.658876
[7] Byung-Gook Kim, Sung Soo Suh, Byung-Sung Kim, Sang-Gyun Woo, Han-Ku Cho, Vikram Tolani, Grace Dai,
Dave Irby, Kechang Wang, Guangming Xiao, David Kim, Ki-Ho Baik, and Bob Gleason "Trade-off between
inverse lithography mask complexity and lithographic performance", Proc. SPIE 7379, Photomask and Next-
Generation Lithography Mask Technology XVI, 73791M (9 June 2009); https://doi.org/10.1117/12.824299
[8] Elmar Platzgummer, Christof Klein, and Hans Loeschner "Electron multi-beam technology for mask and wafer
writing at 0.1nm address grid", Proc. SPIE 8680, Alternative Lithographic Technologies V, 868004 (26 March
2013); https://doi.org/10.1117/12.2014661
[9] Choudhury, Handbook of Microlithography and Microfabrication (1994)
[10] D. R. Herriott, R. J. Collier, D. S. Alles and J. W. Stafford, "EBES: A practical electron lithographic system," in
IEEE Transactions on Electron Devices, vol. 22, no. 7, pp. 385-392, July 1975, doi: 10.1109/T-ED.1975.18149.
[11] J. Freyer and K. Standiford, "Design of an Accurate Production E-Beam System," Solid State Technology,
September 1983.
[12] Paul A. Warkentin and James A. Schoeffel "Scanning Laser Technology Applied to High Speed Reticle Writing",
Proc. SPIE 0633, Optical Microlithography V, (20 August 1986); https://doi.org/10.1117/12.963733.
[13] Torbjoern Sandstrom, Timothy I. Fillion, Ulric B. Ljungblad, and Mats Rosling "Sigma7100: a new architecture
for laser pattern generators for 130 nm and beyond", Proc. SPIE 4409, Photomask and Next-Generation Lithography
Mask Technology VIII, (5 September 2001); https://doi.org/10.1117/12.438402
[14] Hiroshi Matsumoto, Hideo Inoue, Hiroshi Yamashita, Hirofumi Morita, Satoru Hirose, Munehiro Ogasawara,
Hirokazu Yamada, and Kiyoshi Hattori "Multi-beam mask writer MBM-1000 and its application field", Proc. SPIE
9984, Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask
Technology, 998405 (10 May 2016); https://doi.org/10.1117/12.2245177
Siemens Digital Industries Software About Siemens Digital Industries Software
Siemens Digital Industries Software is driving
Headquarters transformation to enable a digital enterprise where
Granite Park One engineering, manufacturing and electronics design
5800 Granite Parkway meet tomorrow. Our solutions help companies of all
Suite 600 sizes create and leverage digital twins that provide
Plano, TX 75024 organizations with new insights, opportunities and
USA levels of automation to drive innovation. For more
+1 972 987 3000 information on Siemens Digital Industries Software
products and services, visit siemens.com/software
Americas or follow us on LinkedIn, Twitter, Facebook and
Granite Park One Instagram. Siemens Digital Industries Software –
5800 Granite Parkway Where today meets tomorrow.
Suite 600
Plano, TX 75024
USA
+1 314 264 8499

Europe
Stephenson House
Sir William Siemens Square
Frimley, Camberley
Surrey, GU16 8QD
+44 (0) 1276 413200

Asia-Pacific
Unit 901-902, 9/F
Tower B, Manulife Financial Centre
223-231 Wai Yip Street, Kwun Tong
Kowloon, Hong Kong
+852 2230 3333

siemens.com/eda
© 2019 Siemens. A list of relevant Siemens trademarks can be found here. Other trademarks
belong to their respective owners.
84530 04/05/22 BM

You might also like