Open navigation menu
Close suggestions
Search
Search
en
Change Language
Upload
Sign in
Sign in
Download free for days
0 ratings
0% found this document useful (0 votes)
100 views
6 pages
LIFO 16x8 RAM
Uploaded by
Cregan
AI-enhanced title
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content,
claim it here
.
Available Formats
Download as PDF, TXT or read online on Scribd
Download
Save
Save LIFO_16x8_RAM For Later
0%
0% found this document useful, undefined
0%
, undefined
Embed
Share
Print
Report
0 ratings
0% found this document useful (0 votes)
100 views
6 pages
LIFO 16x8 RAM
Uploaded by
Cregan
AI-enhanced title
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content,
claim it here
.
Available Formats
Download as PDF, TXT or read online on Scribd
Carousel Previous
Carousel Next
Download
Save
Save LIFO_16x8_RAM For Later
0%
0% found this document useful, undefined
0%
, undefined
Embed
Share
Print
Report
Download now
Download
You are on page 1
/ 6
Search
Fullscreen
Gopu Krishnan G
BPRN 12
Q. Write a RTL and a task-based test bench for the 16x8
last-in-first-out RAM memory
1 module LIFO_16x8(
2 input clock, resetn,
3 input write_enb, read_enb,
4 input [7:0] data_in,
5 output full, empty,
6 output reg [7:0] data_out
7 );
8 reg [4:0] wr_ptr, rd_ptr;
9 reg [7:0] lifo [15:0];
10 integer i;
11
12 always @(posedge clock)
13 begin
14 if (!resetn) begin
15 for(i = 0; i < 16; i = i + 1) begin
16 lifo[i] <= 8'd0;
17 end
18 wr_ptr <= 5'd0;
19 end
20 else if (write_enb && !full) begin
21 lifo[wr_ptr] <= data_in;
22 wr_ptr <= (wr_ptr + 1'b1);
23 end
24 end
25
26 always @(posedge clock)
27 begin
28 if (!resetn) begin
29 data_out <= 8'd0;
30 rd_ptr <= 5'd15;
31 end
32 else if (read_enb && !empty) begin
33 data_out <= lifo[rd_ptr];
34 rd_ptr <= (rd_ptr - 1'b1);
35 end
36 end
37
38 assign full = (wr_ptr == ~rd_ptr) ? 1'b1 : 1'b0;
39 //assign full = (wr_ptr == 5'b10000 && rd_ptr == 5'b01111) ? 1'b1 : 1'b0;
40
41 assign empty = (wr_ptr == {rd_ptr[4], ~rd_ptr[3:0]}) ? 1'b1 : 1'b0;
42 //assign empty = (wr_ptr == 5'b00000 && rd_ptr == 5'b01111)||(wr_ptr == 5'b10000 && rd_ptr == 5'b11111) ? 1'b1:1'b0;
43
44 endmodule
1 module LIFO_16x8_tb;
2 reg clk, resetn, write_enb, read_enb;
3 reg [7:0] data_in;
4 wire full, empty;
5 wire [7:0] data_out;
6 integer i;
7
8 LIFO_16x8 DUT (
9 .clock(clk),
10 .resetn(resetn),
11 .write_enb(write_enb),
12 .read_enb(read_enb),
13 .data_in(data_in),
14 .full(full),
15 .empty(empty),
16 .data_out(data_out)
17 );
18
19 initial begin
20 clk = 1'b0;
21 forever #5 clk = ~clk;
22 end
23
24 task reset();
25 begin
26 @(negedge clk);
27 resetn = 1'b0;
28 @(negedge clk);
29 resetn = 1'b1;
30 end
31 endtask
32
33 task initialize();
34 begin
35 write_enb = 1'b0;
36 read_enb = 1'b0;
37 resetn = 1'b1;
38 data_in = 8'd0;
39 end
40 endtask
41
42 task write(input [7:0]data);
43 begin
44 @(negedge clk);
45 write_enb = 1'b1;
46 read_enb = 1'b0;
47 data_in = data;
48 end
49 endtask
50
51 task delay();
52 begin
53 #10;
54 end
55 endtask
56
57 task read();
58 begin
59 @(negedge clk);
60 write_enb = 1'b0;
61 read_enb = 1'b1;
62 end
63 endtask
64
65 initial begin
66 initialize;
67 reset;
68 delay;
69 for(i = 0; i < 16; i = i + 1)
70 begin
71 write({$random} % 256);
72 end
73 read;
74 @(negedge clk);
75 wait(empty);
76 delay;
77 $finish;
78 end
79
80 initial begin
81 $monitor("data_out = %0h, full = %b, empty = %b, write_enb = %b, read_enb = %b, wr_ptr = %d, rd_ptr = %d",
82 data_out, full, empty, write_enb, read_enb, DUT.wr_ptr, DUT.rd_ptr);
83 end
84 endmodule
You might also like
10 Advanced SystemVerilog Projects
PDF
No ratings yet
10 Advanced SystemVerilog Projects
33 pages
Sakshi Router Project
PDF
No ratings yet
Sakshi Router Project
17 pages
Verilog Practice Programs
PDF
No ratings yet
Verilog Practice Programs
72 pages
25 Advanced Verilog Projects
PDF
No ratings yet
25 Advanced Verilog Projects
30 pages
100002-Verilog MASS Question February 2023
PDF
No ratings yet
100002-Verilog MASS Question February 2023
5 pages
Fifo Uvm
PDF
No ratings yet
Fifo Uvm
15 pages
8-Bit Microprocessor: VLSI Architecture Project Report On
PDF
No ratings yet
8-Bit Microprocessor: VLSI Architecture Project Report On
35 pages
Haunted Magazine - Issue 32 - The Great American Ghost Trip - 7 December 2021
PDF
No ratings yet
Haunted Magazine - Issue 32 - The Great American Ghost Trip - 7 December 2021
102 pages
M4 1.RISCV Datapath
PDF
No ratings yet
M4 1.RISCV Datapath
93 pages
Lab 5
PDF
0% (1)
Lab 5
23 pages
Experiment 9 & 10
PDF
No ratings yet
Experiment 9 & 10
6 pages
Shri Ramdeobaba College of Engineering and
PDF
No ratings yet
Shri Ramdeobaba College of Engineering and
18 pages
Router Lint Report
PDF
No ratings yet
Router Lint Report
16 pages
CS6710 Mipsx2
PDF
No ratings yet
CS6710 Mipsx2
27 pages
16'bit RISC-V PROCESSOR
PDF
No ratings yet
16'bit RISC-V PROCESSOR
18 pages
Final Materialmpi
PDF
No ratings yet
Final Materialmpi
25 pages
DucHuy CA Lab2 2021
PDF
No ratings yet
DucHuy CA Lab2 2021
25 pages
VLSI ALU Verilog
PDF
100% (1)
VLSI ALU Verilog
19 pages
Datapaths II & Control I
PDF
No ratings yet
Datapaths II & Control I
41 pages
Assignment3 2021HT80531
PDF
100% (1)
Assignment3 2021HT80531
14 pages
Matthew McTaggart MIPS Processor Design
PDF
No ratings yet
Matthew McTaggart MIPS Processor Design
23 pages
Router
PDF
No ratings yet
Router
21 pages
MMW Lecture 4.2 Data Management Part 2
PDF
100% (1)
MMW Lecture 4.2 Data Management Part 2
57 pages
Computer Organization EECS 2021: Lab "M" Report Building The Cpu
PDF
No ratings yet
Computer Organization EECS 2021: Lab "M" Report Building The Cpu
41 pages
220 PracticeProblems 8 MultiCycleDP Sol
PDF
No ratings yet
220 PracticeProblems 8 MultiCycleDP Sol
34 pages
2022HI400070G Nivedita
PDF
No ratings yet
2022HI400070G Nivedita
27 pages
Lab N
PDF
No ratings yet
Lab N
11 pages
Simple Mips Processor in Verilog
PDF
No ratings yet
Simple Mips Processor in Verilog
18 pages
CA2021 Project2 Spec
PDF
No ratings yet
CA2021 Project2 Spec
7 pages
Memory Register
PDF
No ratings yet
Memory Register
8 pages
Bottle
PDF
No ratings yet
Bottle
12 pages
TB Fifo
PDF
No ratings yet
TB Fifo
4 pages
Lab Report 06
PDF
No ratings yet
Lab Report 06
19 pages
Test Scenerio (Memory)
PDF
No ratings yet
Test Scenerio (Memory)
15 pages
EEE3096S 2023 Lecture 28 State Machines
PDF
No ratings yet
EEE3096S 2023 Lecture 28 State Machines
18 pages
Memorii
PDF
No ratings yet
Memorii
34 pages
Hamza Code
PDF
No ratings yet
Hamza Code
10 pages
Single Port RAM Fix
PDF
No ratings yet
Single Port RAM Fix
8 pages
ECEN 248 Lab8 - Report
PDF
0% (1)
ECEN 248 Lab8 - Report
16 pages
Pes1ug23cs001 Pes1ug23cs003 Pes1ug23cs030 Pes1ug23cs030
PDF
No ratings yet
Pes1ug23cs001 Pes1ug23cs003 Pes1ug23cs030 Pes1ug23cs030
7 pages
Lab6 DP
PDF
No ratings yet
Lab6 DP
8 pages
16 BIT MICROPROCESSOR Project Najaf Abbas
PDF
No ratings yet
16 BIT MICROPROCESSOR Project Najaf Abbas
11 pages
Verilog Program For Dual Rom
PDF
No ratings yet
Verilog Program For Dual Rom
27 pages
PDF 2
PDF
No ratings yet
PDF 2
13 pages
Verilog MIPS Implementation
PDF
No ratings yet
Verilog MIPS Implementation
20 pages
Assg 2
PDF
No ratings yet
Assg 2
9 pages
Verilog Modules For Common Digital Functions
PDF
No ratings yet
Verilog Modules For Common Digital Functions
30 pages
23bce0140 VL2024250105412 Ast05
PDF
No ratings yet
23bce0140 VL2024250105412 Ast05
9 pages
Fifo
PDF
No ratings yet
Fifo
5 pages
ASIC Assignment - 2
PDF
No ratings yet
ASIC Assignment - 2
9 pages
FIFO Implementation
PDF
No ratings yet
FIFO Implementation
5 pages
MTQP Emb Sys 2015 16
PDF
No ratings yet
MTQP Emb Sys 2015 16
3 pages
Lec 2
PDF
No ratings yet
Lec 2
3 pages
FDP Assignment Solutions
PDF
No ratings yet
FDP Assignment Solutions
7 pages
Code For All Blocks
PDF
No ratings yet
Code For All Blocks
4 pages
TB Memory
PDF
No ratings yet
TB Memory
2 pages
Asic Virtual Lab
PDF
No ratings yet
Asic Virtual Lab
9 pages
Hegemony:: Gramsci Model of Media Hegemony
PDF
0% (1)
Hegemony:: Gramsci Model of Media Hegemony
2 pages
Fix Me Pls
PDF
No ratings yet
Fix Me Pls
5 pages
Dpram Verilog
PDF
No ratings yet
Dpram Verilog
2 pages
Gap Model of Service Quality (5 Gap Model)
PDF
No ratings yet
Gap Model of Service Quality (5 Gap Model)
10 pages
Homemade Mini Coaxial Airgun
PDF
100% (1)
Homemade Mini Coaxial Airgun
9 pages
Macromechanics - 1: Generalized Hooke's Law For Anisotropic Lamina
PDF
No ratings yet
Macromechanics - 1: Generalized Hooke's Law For Anisotropic Lamina
32 pages
This Is A 8 Bit Wide 16 Bytes Deep FIFO
PDF
No ratings yet
This Is A 8 Bit Wide 16 Bytes Deep FIFO
4 pages
Intro To Stage Acting
PDF
No ratings yet
Intro To Stage Acting
23 pages
Verbal
PDF
No ratings yet
Verbal
35 pages
Proof of Address Combined
PDF
No ratings yet
Proof of Address Combined
10 pages
EE2003 EE2003 Circuit Theory Circuit Theory
PDF
No ratings yet
EE2003 EE2003 Circuit Theory Circuit Theory
9 pages
Daniel Tian Li: Circular Column Design Based On ACI 318-05
PDF
No ratings yet
Daniel Tian Li: Circular Column Design Based On ACI 318-05
1 page
Newton, Goethe and The Mathematical Style - VINE, Troy
PDF
No ratings yet
Newton, Goethe and The Mathematical Style - VINE, Troy
16 pages
Is Mamba Effective For Time Series Forecasting?
PDF
No ratings yet
Is Mamba Effective For Time Series Forecasting?
14 pages
Endeavour II Regulations 3rd Call 2024
PDF
No ratings yet
Endeavour II Regulations 3rd Call 2024
22 pages
Model Supervisi Klinis
PDF
No ratings yet
Model Supervisi Klinis
28 pages
Tut9 Soln Slides
PDF
No ratings yet
Tut9 Soln Slides
20 pages
UTG-1500 Manual 2009-Booklet
PDF
No ratings yet
UTG-1500 Manual 2009-Booklet
12 pages
Data Mining
PDF
No ratings yet
Data Mining
16 pages
Unit-1-Humour LP
PDF
No ratings yet
Unit-1-Humour LP
4 pages
Vince-LPFA - (16.1) Consolidation 4
PDF
No ratings yet
Vince-LPFA - (16.1) Consolidation 4
5 pages
Ikea Strategy in Covid19
PDF
No ratings yet
Ikea Strategy in Covid19
2 pages
Grade 8 Life Orientation Term 1
PDF
No ratings yet
Grade 8 Life Orientation Term 1
5 pages
Cambridge Assessment International Education: First Language Afrikaans 8779/02 October/November 2018
PDF
No ratings yet
Cambridge Assessment International Education: First Language Afrikaans 8779/02 October/November 2018
9 pages
Human Error and Production Rate Correlation in Assembly Process of Electronics Goods
PDF
No ratings yet
Human Error and Production Rate Correlation in Assembly Process of Electronics Goods
6 pages
IBERDROLA
PDF
No ratings yet
IBERDROLA
3 pages
6375-PERSONDEV - Badoy, Laurice Rose Isabel - Self-Defense Reflection Paper
PDF
No ratings yet
6375-PERSONDEV - Badoy, Laurice Rose Isabel - Self-Defense Reflection Paper
4 pages
Lot 1
PDF
No ratings yet
Lot 1
3 pages
AVINASH PRABHAKAR TAYDE, Science Graduate, +35 Yrs Experience in Pharma
PDF
No ratings yet
AVINASH PRABHAKAR TAYDE, Science Graduate, +35 Yrs Experience in Pharma
3 pages
Note
PDF
No ratings yet
Note
1 page
My Account - MuleBuy 2
PDF
No ratings yet
My Account - MuleBuy 2
1 page
Computer Engineering Laboratory Solution Primer
From Everand
Computer Engineering Laboratory Solution Primer
Karan Bhandari
No ratings yet
Amazing Java: Learn Java Quickly
From Everand
Amazing Java: Learn Java Quickly
Andrei Besedin
No ratings yet