Integrated Circuit Fabrication - Kumar Shubham, Ankaj Gupta - 1, 2021 - CRC Press - 9781000396409 - Anna's Archive
Integrated Circuit Fabrication - Kumar Shubham, Ankaj Gupta - 1, 2021 - CRC Press - 9781000396409 - Anna's Archive
Ankaj Gupta
Assistant Professor
Delhi Technical Campus
Greater Noida, Uttar Pradesh
India
First published 2021
by CRC Press
2 Park Square, Milton Park, Abingdon, Oxon, OX14 4RN
and by CRC Press
6000 Broken Sound Parkway NW, Suite 300, Boca Raton, FL 33487-2742
© 2021 Manakin Press Pvt. Ltd.
CRC Press is an imprint of Informa UK Limited
The rights of Kumar Shubham and Ankaj Gupta to be identified as the authors of this
work has been asserted by them in accordance with sections 77 and 78 of the Copyright,
Designs and Patents Act 1988.
Reasonable efforts have been made to publish reliable data and information, but the
author and publisher cannot assume responsibility for the validity of all materials or the
consequences of their use. The authors and publishers have attempted to trace the
copyright holders of all material reproduced in this publication and apologize to copyright
holders if permission to publish in this form has not been obtained. If any copyright
material has not been acknowledged please write and let us know so we may rectify in
any future reprint.
All rights reserved. No part of this book may be reprinted or reproduced or utilised in any
form or by any electronic, mechanical, or other means, now known or hereafter invented,
including photocopying and recording, or in any information storage or retrieval system,
without permission in writing from the publishers.
For permission to photocopy or use material electronically from this work, access www.
copyright.com or contact the Copyright Clearance Center, Inc. (CCC), 222 Rosewood
Drive, Danvers, MA 01923, 978-750-8400. For works that are not available on CCC
please contact [email protected]
Trademark notice: Product or corporate names may be trademarks or registered
trademarks, and are used only for identification and explanation without intent to infringe.
Print edition not for sale in South Asia (India, Sri Lanka, Nepal, Bangladesh, Pakistan or
Bhutan).
British Library Cataloguing-in-Publication Data
A catalogue record for this book is available from the British Library
Library of Congress Cataloging-in-Publication Data
A catalog record has been requested
ISBN: 978-1-032-01429-6 (hbk)
ISBN: 978-1-003-17858-3 (ebk)
To Our Parents
and
Family
Brief Contents
2. Epitaxy 37–74
3. Oxidation 75–102
4. Lithography 103–138
5. Etching 139–166
6. Diffusion 167–206
9. Packaging 279–294
Appendix 325–332
Index 333–336
Detail Contents
2. Epitaxy 37–74
2.1 Introduction 37
2.2 Liquid Phase Epitaxy 39
2.3 Vapor Phase Epitaxy/Chemical Vapor Deposition 42
2.3.1 Growth Model and Theoretical Treatment 44
2.3.2 Growth Chemistry 46
2.3.3 Doping 48
2.3.4 Reactors 49
2.4 Defects 50
2.5 Technical Issues for Si Epitaxy by CVD 52
2.5.1 Uniformity/Quality 52
2.5.2 Buried Layer Pattern Transfer 53
2.6 Autodoping 58
2.7 Selective Epitaxy 59
2.8 Low Temperature Epitaxy 60
2.9 Physical Vapor Deposition (PVD) 61
2.9.1 Molecular Beam Epitaxy (MBE) 61
2.10 Silcon-on-Insulator (SOI) 66
x Detail Contents
3. Oxidation 75–102
3.1 Introduction 75
3.2 Growth and Kinetics 78
3.2.1 Dry Oxidation 79
3.2.2 Wet Oxidation 80
3.3 Growth Rate of Silicon Oxide Layer 82
3.4 Impurities effect on the Oxidation Rate 87
3.5 Oxide Properties 89
3.6 Oxide Charges 90
3.7 Oxidation Techniques 92
3.8 Oxide Thickness Measurement 92
3.9 Oxide Furnaces 95
3.10 Summary 98
Problems 98
Reference 99
4. Lithography 103–138
4.1 Introduction 103
4.2 Optical Lithography 105
4.3 Contact Optical Lithography 106
4.4 Proximity Optical Lithography 106
4.5 Projection Optical Lithography 107
4.6 Masks 112
4.7 Photomask Fabrication 114
4.8 Phase Shifting Mask 115
4.9 Photoresist 116
4.10 Pattern Transfer 119
4.11 Particle-Based Lithography 122
4.11.1 Electron Beam Lithography 122
4.11.2 Electron-Matter Interaction 124
4.12 Ion Beam Lithography 127
4.13 Ultra Violet Lithography 129
4.14 X-Ray Lithography 130
4.15 Comparison of Lithographic Techniques 132
4.16 Summary 133
Problems 134
References 139
Detail Contents xi
5. Etching 139–166
5.1 Introduction 139
5.2 Etch Parameters 139
5.3 Wet Etching Process 141
5.4 Silicon Etching 143
5.5 Silicon Dioxide Etching 145
5.6 Aluminum Etching 146
5.7 Dry Etching Process 147
5.8 Plasma Etching Process 147
5.8.1 Plasma Chemical Etching Process 150
5.8.2 Sputter Etching Process 151
5.8.3 Reactive Ion Etching (RIE) Process 152
5.9 Inductive coupled Plasma Etching (ICP) 153
5.10 Advantages and Disadvantages of Dry Etching
(Plasma Etching) and Wet Etching 154
5.11 Examples of Etching Reactions 154
5.12 Liftoff 157
5.13 Summary 159
Problems 159
References 160
6. Diffusion 167–206
6.1 Introduction 167
6.2 Atomic Mechanisms of Diffusion 168
6.2.1 Substitutional Diffusion 168
6.2.2 Interstitial Diffusion 169
6.3 Fick’s Laws of Diffusion 171
6.4 Diffusion Profiles 172
6.4.1 Constant Source Concentration Distribution 173
6.4.2 Limited Source Diffusion or Gaussian Diffusion 175
6.5 Dual Diffusion Process 177
6.5.1 Intrinsic & Extrinsic Diffusion 179
6.5.2 Diffusivity of Antimony in Silicon 182
6.5.3 Diffusivity of Arsenic in Silicon 182
6.5.4 Diffusivity of Boron in Silicon 183
6.5.5 Diffusivity of Phosphorus in Silicon 185
6.6 Emitter Push Effect 186
6.7 Field-Aided Diffusion 188
6.8 Diffusion Systems 189
6.9 Oxide Masking 193
6.10 Impurity Redistribution During Oxide Growth 195
6.11 Lateral Diffusion 196
xii Detail Contents
9. Packaging 279–294
9.1 Introduction 279
9.2 Package Types 280
9.3 Packaging Design Considerations 283
9.4 Integrated Circuit Package 284
9.5 VLSI Assembly Technologies 287
9.6 Yield 291
9.7 Summary 293
Problems 293
References 293
Appendix 325–332
Index 333–336
Preface
1.1 INTRODUCTION
Designing a complex electronic machine of compact size like a laptop
or mobile, it is always desired and necessary to increase the number of
components involved in order to make technical advanced. The logic
operation parts of the machines are conducted through integrated circuits
made of semiconductor material. The monolithic integrated circuit placed
the previously separated diodes, transistors, resistors, capacitors and all the
connecting wiring onto a single crystal (or ‘chip’). The monolithic integrated
circuit was fated to be invented as two inventors who were unaware of each
others activities, invented almost identical integrated circuits or ICs at nearly
the same time.
Jack Kilby, an engineer from Texas Instruments in 1958 with a background
in ceramic-based silk screen circuit boards and transistor-based hearing aids
had similar idea of making a whole circuit on a single chip as of research
engineer Robert Noyce who had co-founded the Fairchild Semiconductor
Corporation in 1957.
What we didn’t realize then was the
integrated circuit would reduce the cost of
electronic functions by a factor of a million
to one, nothing had ever done that for
anything before” – Jack Kilby
In 1961 the first commercially available
Jack Kilbe Robert Noyce
integrated circuits came from the Fairchild
Fig. 1.1 Photo image of (a) Jack
Semiconductor Corporation.
Kilbe (b) Robert Noyce
2 Chapter 1 Introduction to Silicon Wafer Processing
Jack Kilby holds patents on more than sixty inventions and is also well known
as the inventor of the portable calculator (1967), awarded the National Medal
of Science in 1970. Robert Noyce, with sixteen patents to his name, founded
Intel, the company responsible for the invention of the microprocessor, in
1968. The invention of the integrated circuit by both men stands historically
as one of the most important innovations of mankind as almost all modern
products use chip technology. Kilby used Germanium and Noyce used silicon
for the semiconductor material.
All computers then started to be made using chips instead of assembling the
individual transistors and their accompanying parts. Texas Instruments first
used the chips in Air Force computers and the Minuteman Missile in 1962.
They later used the chips to produce the first electronic portable calculators.
The first IC had only one transistor, three resistors, and one capacitor having
a siae of an adult,s pinkie finger. Today an IC smaller than a penny can hold
more than 1 billion transistors.
The advantages of integrated circuits are as follows
1. Small in size due to the reduced device dimension
2. Low weight due to very small size
3. Low power requirement due to lower dimension and lower threshold
power requirement
4. Low cost due to large-scale production and cheap material
5. High reliability due to the absence of a solder joint
6. Provide facilitation to integrate large number of devices and
components.
7. Improves the device performance even at high-frequency region
The disadvantages of integrated circuits are as follows
1. IC resistors have a limited range
2. Due to bulky size generally inductors (L) cannot be formed using IC
3. Transformers cannot be formed using IC.
In the late 1960s, the next step in the development of integrated circuit was
taken with introduction of devices that contained hundreds of transistors on
each chip, called Medium-Scale Integration” (MSI).
They were more attractive and fast processing then SSI because, they allowed
more complex systems to be produced using smaller circuit boards, less
assembly work (because of fewer separate components), and a number of
other advantages but they cost little more to produce than SSI devices.
First and second generation microprocessor, computer memories, calculator
chips led further development of IC for mass commercial production so Large
Scale Integration (LSI) circuits come into picture in the early 1970s that contain
tens of thousand transistors on each chip and finally in 1974 to Very Large
Scale Integration (VLSI) circuits containing hundreds of thousands transistors
on each chip in early 1980s and continues to millions transistors. This led to
increase production of chips utilizing then in different new products also the
shrinking of chip size and reduction of chip cost.
LSI Technology
Bipolar MOS
In recent years the rate of growth has showed difficulties in defining, designing
and processing complicated chips were about 100 million devices /chip
available before 2000 and 1 billion in 2011. The devices which are used in
today’s integrated circuits, primarily CMOS, Bi-CMOS, GaAs and FinFET, in
1980 at the beginning of VLSI era the minimum feature size was 2μm which
shrink to 0.1 μm in 2000 and to 0.022μm in 2011. Device miniaturization
results in reduced unit cost per function and in improved performance. The
device speed has improved by four orders of magnitude since 1960. Higher
speed leads to expanded IC functional throughput rates. Digital ICs are able to
perform data processing, numerical computation and signal conditioning at 10
and higher gigabit per second rates. Another benefit is the reduction of power
consume as the device become smaller so, consume less power and reduces
the energy used for each switching operation.
Table 1.1 Implication of Semiconductor Industry Growth on defect size, density and
contamination level
It is obvious that great care must be taken in making sure that the factories
in which chips are manufactured are as clean as possible. Even with a ultra
clean environment, and even with procedure with clean wafers thoroughly and
often, it is not realistic to expect that all impurities can be kept out of silicon
wafers. The critical particle size of the impurity/dopant/dust is on the order of
half of the minimum feature size of the devices. Particles larger than this size
have a high probability of causing a manufacturing defect. There is simply too
much processing and handling of the wafers during IC fabrication.
The manufacturing units producing chips must have clean facilities. Particles
that might deposit on a silicon wafer and cause a defect may originate from
many sources including people touch-dust, machines processing chemicals,
process and residual gases. Such particles may be airborne or may be suspended
in liquids or gases. It is common to characterize the cleanliness of air in IC
facilities by the designation “class 10 or class 100”. Figure 1.3 illustrates the
meaning of these terms.
Total Particles Per Cubic Foot
106
100,000
104
100 10,000
10 1000
100
1
1
0.1 1 10 100
Particle Size (mm)
Fig. 1.3 Particle size distribution curve for various classes of clean room. The vertical
axis is the total number of particles larger than a given particle size.
1.3 Clean Room 7
Class 10 classifies that in each cubic foot of air in the manufacturing unit,
there are less than 10 total particles having siae greater than 0.5 mm. A typical
class room of university is about class 100000 while room air in state of the art
manufacturing facilities today is typically class 1 in critical areas. This level of
cleanliness is obtained through a combination of air filtration and circulation,
clean room design and through careful elimination of particular sources.
Particles in the air in a manufacturing plant generally come from several main
sources. This includes the people who work in the plant, machines that operate
in the plant, and supplies that are brought into the plant. Many studies have been
done to identify particle source and the relative importance of various sources.
For example people typically emit several hundred particle per minute from each
cm2 of surface area. The actual rate is different for clothing versus skin versus
hair but net result is that a typical human emits 5-10 million particles per minute.
Most modern IC manufacturing plant makes use of robots for wafer handling
in an effort to minimize human handling and therefore particle contamination.
The very first step in reducing particles is to minimiae these sources. People in
the plant should wear “bunny suits” which cover their bodies and clothing and
which lock particle emissions from these sources. Face masks and individual
air filters are also worn to prevent exhaling particles into the room air. Few
minute air showers at the entrance of the clean room to blow loose particles off
from people before they enter as well as clean room protocols are enforced to
minimize particle generation. Machines those handles the wafers in the plant
are specifically designed to minimiae particle generation and materials are
chosen for use inside the plant which minimize particle emission.
The source of particles can never be completely eliminated but constant
air filtration is used to remove generated particles. This is accomplished by
recirculating the air through High Efficiency Particulate Air (HEPA) filters.
These filters are composed of thin porous sheets of ultrafine glass fibers (< 0.5
mm diameter). Room air is forced through the filters with a velocity of about
50 cm/sec. large particles are trapped by the filters; small particles impact the
fibers as they pass through the filter and stick to these fibers primarily through
electrostatic forces. The net results of HEPA filters are 99.98% efficient at
removing particles from the air.
Most IC manufacturing facility produces their own clean water on site, starting
with water from the local water supply. This water is filtered to remove
dissolved particles and organics. Dissolved ionic species are removed by ion
exchange or reverse osmosis. The result is high purity (high resistivity in MD)
water that is used in large quantities in the plant.
8 Chapter 1 Introduction to Silicon Wafer Processing
periodic table shown in figure 1.5. This same type of bonding arrangement
can be produced using mixture of elements from other columns of periodic
table known as compound semiconductors. For example GaAs consists of
alternating Ga (Column III) and As (Column V) atoms which have an average
of four electron per atom, ZnO consist of alternating Zn (Column II) and O
(column VI) atoms which have an average of four electron per atom and so
the same covalent bonding arrangements works. More complex examples
like, AlxGa1-xAs, HgxCd1-xTe, Al1-xGaxAsyP1-y are also possible. Thus nature
provides many possible materials which can act as semiconductor.
III IV V VI
5 6 7 8
B C N O
10.81 12.01 14.01 16.00
13 14 15 16
Al Si P S
26.96 28.09 30.97 32.06
30 31 32 33 34
Zn Ga Ge As Se
65.38 69.72 72.59 74.92 78.96
48 49 50 51 52
Cd In Sn Sb Te
112.40 114.80 118.70 121.80 127.60
At temperature above absolute zero thermal energy can break some of the
covalent bond of semiconductor which creates both a free or mobile electron
and a mobile hole. The concentration of electron and holes are exactly
equal in pure semiconductor are referred to as intrinsic semiconductor. The
conductivity of pure semiconductor depends on the broken covalent bond due
to temperature. So the free charge carriers are very few in pure semiconductor.
Fortunately semiconductors have the properties that they can be doped with
other materials. Doping results in a column V (P, As) or a column III (B, Al)
atom replacing a semiconductor atom in the crystal structure. Such dopants
either contribute an extra electron (column V) to the crystal, become N-type
dopants or they contribute a hole (column III), become p-type dopants. The
electron and holes are introduced on a one for one basis by the dopants.
Doping could be accomplished by diffusion or ion-implantation, modern IC
technology generally uses ion-implantation to dope semiconductor which
permits controlled introduction of parts per million to parts per hundred of
dopant atoms. As a result conductivity of semiconductor can be controlled
over a very wide range, permitting many types of semiconductor devices to be
10 Chapter 1 Introduction to Silicon Wafer Processing
a a a
Simple cube Body centered cube Face centered cube
(A)
[011]
[111]
[001]
[110]
[100]
(B)
Fig. 1.6 (a) Cubic Crystal lattices b) Crystal orientation in cubic system
Figure 1.6 shows three simple crystal unit cells. All are based on a cubic
structure.
Simple Cubic (SC): Polonium crystal exhibits this structure over a narrow range
of temperature. The SC cell has atoms at the corners of the cell.
Body Centred Cubic (BCC): Molybdenum, tentalum and tungsten exhibits this
crystal. The bcc cell has an additional extra atom in the center of the cube than SC.
12 Chapter 1 Introduction to Silicon Wafer Processing
This crystal structure can also be thought of as two interlocking FCC lattices.
Gallium arsenide also forms in this same arrangement; however, when two
elements are present, the crystal has a reduced level of symmetry so the
structure is then called zincblende.
0D 1D 2D 3D
(Point defects) (Line defects) (Surface/Interface) (Volume defects)
Point defects Point defects are simple to visualize and they play crucial roles
in impurity diffusion. In layman language anything other than a silicon atom
on a lattice site constitute a point defect. By this definition a substitutional
doping atom is a point defect and might be referred to as an impurity related
defect. Principally point defect can be further divided in two categories: the
first is simply missing silicon lattice atom or vacancy and the other is an extra
silicon atom.
B C
The second type of point defect that may exist in a semiconductor is known
as extrinsic defect shown in figure 1.9C. This is caused either by an impurity
atom at an interstitial site or at a lattice site. In the second case it is referred to
as a substitution impurity. For example dopant atoms are required to modulate
semiconductor conductivity are basically caused by substitutional defects.
Substitutional as well as interstitial impurities have a significant impact on
device performance. Some impurities that tend to occupy interstitial sites have
electronic states near the center of the bandgap. As a result, they are efficient
sites for the recombination of electron-hole pair. These recombination centers
form depletion region that reduce the gain of bipolar transistors and can cause
p–n diodes to leak.
Line Defects: Line defects or dislocations, are lines along which whole rows
or columns of atoms in a solid crystal are arranged anomalously. The resulting
irregularity in spacing is most severe along a line called the line of dislocation
as shown in figure 1.10. Line defects are mostly due to misalignment of ions
or presence of vacancies along a line that can be weaken or strengthen solids.
When lines of ions are missing in an otherwise perfect array of ions, an edge
dislocation appeared which is responsible for the ductility and malleability. In
fact movement of edge dislocation often results hammering and stretching of
materials. Movements of dislocations give rise to their plastic behavior. Line
dislocations usually do not end inside the crystal, they form loops or end at the
surface of a single crystal.
Dislocation
Line
Figure 1.11 shows the Burger circuit journey around an edge dislocation using
the sketch of surface of a crystal. A Burger vector is approximately perpendicular
to the dislocation line, and the missing line of atoms is somewhere within the
block of the Burger journey.
If the misalignment shifts a block of ions gradually downwards or upwards
causing the formation of a screw like deformation, a screw dislocation is
formed as shown in figure 1.11(b).
B
A
A
(a) (b)
Line defect affects the mechanical properties of the solid in terms of its density
as well as deteriorates the structure along a one-dimensional space. Mechanical
properties are also affected by the type of line defects. As a result for structural
materials, the formation and study of dislocations are particularly important.
Surface Defects: These defects may arise at the boundary between two grains,
or merging of two crystals such as small crystal within a larger crystal. The
rows of atoms in two different grains may run in slightly different directions,
leading to a mismatch across the grain boundary as shown in figure 1.12.
The external surface of a crystal also comes under surface defect because the
atoms on the surface relocate their positions to accommodate for the absence
of neighboring atoms.
IC
They are most time harmful in microelectronic devices as they change the
geometry of the device by interfering in fabrication, or alter its electrical
properties by hosting undesirable properties of their own.
Voids are produced as a result of gases those are trapped during solidification
or by vacancy condensation in the solid state. So they are almost always
undesirable defects. Their prime effect is to decrease mechanical strength and
endorse fracture at small loads.
SiO + CO
SiO (s)
SiO2 + C
1600°C SiO + 2 C
SiC SiC + CO
from SiO and C SiO2 + C
melt SiO2 SiC + CO
1780°C
SiC + SiO2 Si + SiO + CO
liquid silicon
discharge of MGS
furnace
H2 , HCl Hydrogen
Hydrochlorination of Chlorosilane
and HCl
Si (MGS) fluidized recovery
recovery
bed reactor
SiHCl3
SiCl4
SiHCl3 HCl, H2 H2
(SiHxCl4–x)
SiHCl3
SiHCl3
Vaporization
Distillation H2
and chemical vapor
deposition
Isolation Valve
ort
iewp Ambient gas inlet
V
Purge tube Seed shaft & chock
Temperature
sensor
To vaccum pump
Control
System and
Crucible rotation
Power
and lift
Supply
Furnace: The most important component of the growing system is the crucible.
The crucible material should have thermal hardness, chemically stability, high
melting point since it contain the melt of Si and it should be unreactive with
molten Si for reusable purpose. Commonly used high temperature material
such as TiC, TaC, SiC are unsuitable because of introducing unacceptable
levels of impurities into the crystal. The remaining choices for crucible are
Si3N4 and fused SiO2.
Fused silica (SiO2) reacts with Si releasing Si and O2 into the melt. The
dissolution rate is quite substantial being in the range (8-25)*107 g/cm2.s.
The actual rate of erosion is a function of the convection conditions in the
melt and temperature. Large portion of the oxygen in the melt outflows by the
formation of gaseous Silicon Monoxide (SiO) which on condensing creating
the cleanliness problem in the puller. The purity of silicon is also affected by the
22 Chapter 1 Introduction to Silicon Wafer Processing
silica purity because SiO2 can captivate sufficient acceptor impurities to limit
the maximum values of resistivity of the Si that is being grown. The presence
of carbon in the melt also accelerates the dissolution rate up to twofold.
C (s) + SiO2 (s) SiO (g) + CO (g)
Crucible for large CZ pullers have a diameter-to-height ratio of approximately
one or slightly greater: common diameters are 25, 30, 35 and 45 cm for charge
size of 12, 20, 30 and 45 kg respectively. Wall thickness of 0.25 cm is used but
the silica is sufficiently soft to require the use of a susceptor for mechanical
support. Upon cooling, the thermal mismatch between residual Si and SiO2
usually results in the fracture of crucible. The feasibility of using Si3N4 as
a crucible material has been demonstrated using CVD-deposited nitride. It
is attractive as a means of eliminating oxygen from crucible-grown crystal.
However even the nitride is eroded resulting in a doping of crystal with
nitrogen, a weak donor. CVD nitride is the only form of nitride with sufficient
purity for crucible use.
The main functions of susceptor as mentioned previously, are to support the silica
crucible and provide better thermal conditions. A high purity nuclear graded
graphiteis the preferred choice material for susceptor. Preventing contamination
of the crystal from impurities that would be volatilized from graphite at
temperature involved is necessity for high purity. The position of susceptor is on
a pedestal whose shaft is connected to a motor that provides rotation. The whole
assembly can usually be raised up and down to keep the melt level equidistant
from a fixed reference point which is required for automatic diameter control.
The chamber covering the furnace must meet conditions that it should provide
easy access to the furnace components to facilitate maintenance and cleaning.
The furnace must be designed in such a manner to prevent contamination from
the atmospheric ambience as well as vapor pressure generated due to heating
will not be a factor for crystal. As a rule, the hottest parts of the puller are water
cooled. Insulation is usually provided between the heater and chamber wall.
The melt the charge, radio frequency (induction heating) or resistance heating
has been used. Induction heating is useful for small melt sizes, but resistance is
used exclusively in large pullers. Resistance heaters at power levels involved
are generally smaller, cheaper, easier to instrument and more efficient. A
graphite heater is connected to a DC power supply.
rate B) crystal rotation; the lead screws are often used to withdraw and rotate
crystal. This method provide centers the crystal relative to the crucible, but
may require an excessively tall apparatus if the grower is to produce long
crystal. Since precise mechanical tolerance is difficult to maintain over a long
shaft, pulling with a cable may be necessary. Using cable makes difficult of
centering crucible & crystal but provides a smooth pulling action. The crystal
leaves the furnace through a tube where the crystal is cooled by passing
ambient gas in direction along the surface the name of the tube is purge tube.
After purge tube the crystal enters an upper chamber that is separated from the
furnace by an isolation valve.
Ambient control
Inert gas or vacuum ambience should be provided for Czochralski growth of
Si because 1) to prevent erosion, hot graphite part must be protected from
oxygen 2) molten Si should not react with the gas around. Growth in a vacuum
meet these requirement; it also has the advantage of removing SiO from the
system, thus preventing its buildup inside the furnace chamber. Si crystal
growth in a gaseous atmosphere commonly uses inert gases such as He and Ar
but Ar is preferred in industrial scale.
Control system
The control system can take many forms to control process parameters like
pull rate, rotation speed crystal diameter and temperature. The large thermal
mass of the melt generally precludes any short-term control of the process
based on temperature. To control the diameter an infrared temperature sensor
can be focused on the melt-crystal interface and used to detect changes in the
meniscus temperature. The sensor output is linked to the pulling mechanism
and controls the diameter by varying the pull rate. The trend in control systems
is to use digital microprocessor based system.
dm
Where L is latent heat of fusion, is the mass solidification rate, T is
dt
temperature, Kl & KS are thermal conductivities of liquid and solid respectively,
dT and dT are thermal gradients and A and A are the areas of the isotherms
1 2
dx1 dx2
at positions 1 & 2 respectively.
From equation (1) the maximum pull rate of a crystal can be deduced by the
condition of zero thermal gradient in the melt (i.e. dT ). Converting the mass
dx1
solidification rate to a growth rate using density and area yields
k s dT
Vmax = ... (1.2)
Ld dx
Where Vmax is the maximum pull rate and d is the density of solid Si.
Growth axis
TM BL Melt (Liquid)
Impurity atoms
Silicon atoms
M N Distance
Silicon Crystal Growth
In reality, the maximum pull rate is not commonly used. The pull rate influences
the incorporation of impurities into the crystal and is a factor in defect
generation which affects the crystalline quality. The material near the melt
has a very high density of point defects. Quickly cooling of solid is desirable
to prevent these defects from agglomerating but such rapid cooling lead to
large thermal gradients on surface (and therefore large stresses) will occur
in the crystal, particularly for large-diameter wafers. When the temperature
gradient is small in the melt the heat transferred is the latent heat of fusion.
Which implies that crystal diameter varies inversely to the pull rate. The pull
1.8 Single crystal Si manufacture 25
Impurity Al As B C Cu Fe O P Sb
ko 0.002 0.3 0.8 0.07 4*10-6 8*10-6 0.25 0.35 0.023
Feed rod
(Poly silicon)
Melting interface
RF heating coil
Molten zone
Freezing interface
Shoulder
Neck
Seed
Seed holder
rod by a traveling radio frequency (RF) coil. RF coil provides power which
generates large current in the Si and locally melts it through I2R heating.
Usually the molten aone is about 2 cm long. RF field generated levitation and
surface tension keep the system stable. If the seed end zone is initiated to melt
and the rod is slowly moved up then solidifying region has the same orientation
as of the seed. For reduction of gaseous impurities, the furnace is filled with
an inert gas like argon. Also, since the process requires no crucible so it can be
used to produce oxygen free Si wafers. The difficulty is to extend this technique
for large wafers, since the process produces large number of dislocations. The
process produces large number of dislocations so it is used for small specialty
applications requiring low oxygen content wafers. Doping of the crystal can
be accomplished either by starting with doped poly-silicon rod, a doped rod,
or by maintaining a gaseous ambient during the FZ process that contains a
dilute concentration of desired dopant. A disadvantage of float aone growth is
the struggle of introducing identical concentration of dopants. There are four
methods that can be used: core doping, pill doping, gas doping, and neutron
transmutation. The starting material of Core doping is a doped Poly-silicon
rod. On top of this rod, additional undoped poly-silicon is deposited until the
average desired concentration is reached. The process can be repeated through
several generations if necessary. Core doping is the preferred process for boron
because its diffusivity is high and because it does not tend to evaporate from
the surface of the rod. The concentration of boron in a boule is quite uniform
after neglecting the first few melt lengths. Doping is accomplished through
the use of gases doping material such as PH3, AsCl3, or BCl3. The gas may be
injected as the poly-silicon rod is deposited, or it may be injected at the molten
ring during the float aone refining. Pill doping is provided by drilling a small
hole in the top of the rod and inserting the dopant in the hole. If the dopant has
a small segregation coefficient then it will be carried with the melt and passes
throughout the length of the boule resulting in modest non-uniformity. Gallium
and indium doping work well in this manner. Finally, for light n-type doping,
float aone silicon can be doped through a process known as transmutation
doping. In this process, the boule is exposed to a high brightness neutron source.
7. Lapping
2. Single Crystal
Ingot
Polishing Table
along the length of the ingot to ensure uniformity by a four point probe
technique discussed in Chapter 6 of Diffusion. Those portion of the ingot who
fail the resistivity and perfection evaluations are cut away. The diameter is
commonly 100, 150, 200 or 300 mm. Orientation of the ingot is measured
by X-Ray Direction (XRD) method at the ends to know the type of wafer and
shaping them by flat grinding. A crystallographic orientation flat is also ground
along the length of the ingot and defined by two types of flats:
1. Primary flat-defines specific crystal direction and act as a visual
reference to the orientation of the wafer
2. Secondary flat- defines for identification of the wafer, dopant type and
orientation
On viewing the wafers based on flats one can easily analysis the type of wafer
as described in figure 1.19.
Secondary
flat
180° 90°
Secondary flat Primary flat Primary flat
Secondary flat
flats on the wafer. This means that the edges of the chips are on {110} crystal
planes. When the completed chips are ready to be separated for packaging
a dicing operation is performed in which the scribe lines between adjacent
chips are partially sawn through the individual chips can then be separated
by simply breaking the wafer mechanically along these scribes lines. Si
actually cleaves naturally along {111} planes. In (100) crystal, the {111}
planes meet the surface at an angle of 54.7. along the <110> directions
which are at right angles to each other. Thus placing the scribe lines parallel
and perpendicular to the wafer flat results in easy cleaving. The two common
surface orientations are usually produced using seeds of the appropriate
orientation, which then allows cutting of the individual wafers perpendicular
to boule wafers of <100> orientation are usually cut "on orientation". The
tolerances allowed for orientation do not adversely affect MOS devices
characteristics such as interface trap density. The other common orientation
<111> is usually cut "off orientation" (by about 3.) as required for epitaxial
processing. The wafer thickness is essentially fixed by slicing although the
final value depends on subsequent shaping operation. The thickness of the
water required to provide adquate mechanical support during IC manufacture
200 mm diamater waters usually about 725 mm thickness then final finished
from they must be sawed somewhat thicker than this, however in order
to allow for losses that will occur during subsequent lapping, etching and
polishing typically sawn wafer thickness would be about 850 μm. The saw
blade itself about 400 μm thick and so this thickness is lost from the boule
as Si dust every time a wafer is cut. Thus including losses at the seed and
tail end of the crystal only about 50% of the boule ends up in wafer form. A
major concern is slicing is the blade’s continued ability to cut wafers from
the crystal in very flat planes. If the blade deflects during slicing this will not
be achieved. So positioning a capacitive sensing device near the blade, the
blade position and vibration in the blade can be monitored and higher quality
cutting achieved. A mechanical two-sided lapping operation, performed
under pressure using a wafer with flatness uniform to within 2 mm. A final
shaping step is edge controlling, where a radius is ground on the rim of the
wafer. The process is usually done in casselte-fed high speed equipment.
During device fabrication, edge rounded wafers develop fewer edge chips
and aid in controlling the photoresist at the wafer edge. Chipped edges act
as places where dislocations can be introduced during thermal cycles and as
places where wafer fracture can be initiated. The silicon particles starting
from the chipped edge if present on the wafer surface, can add to the defect
density of the Integrated circuit process and thus reducing yield.
1.9 Silicon Shaping 31
Etching
The shaping operations leave the surface and edges of the wafer damaged and
contaminated with the depth of work damage depending on the specifics of the
machining operations. The damaged and contaminated regions are on the order
of 10 μm deep can be removed by chemical etching. In past mixtures of three
acids hydrofluoric, nitric and acetic acids have been used but utiliaation of
alkaline etching using potassium or sodium hydroxide also in most widely use.
The process equipment contains an acid sink, which uses a tank to keep the
etching solution and two or more positions for rinsing the wafers through
water. To maintain uniformity, wafer is rotated during acid etching using
best available process equipment. Processing is usually performed with a
substantial overtake to assure all damage is removed. Removing 20 μm per
side is typical. The etching process is checked frequently by gauging wafers
for thickness before and after etching.
The etching process involves oxidation-reduction step followed by dissolution
of an oxidation product. In the hydrofluoric, nitric, and acetic acid etching
system nitric acid is the oxidant and hydrofluoric acid dissolves the oxidiaed
products according to the following reactions:
3Si (s) + 4HNO3 (l) + 18HF (l) 3H2SiF6 (l) + NO (g) + 8H2O (l)
In HF rich solutions the reaction is made limited at the oxidation step.
This oxidation reaction is very much sensitive to doping orientation and
defect structure of the crystal. The use of HNO3 rich mixture provides rate
limiting etching and preferred for removing work damage. A mixture of
HNO3 (79% wt.), HF (49% wt.) and CH3COOH acids with ratio in 4:1:3 is a
common etch. With wafer size of larger diameter dimensional uniformity are
introduced by lapping, is not maintained to be compatible with surface flatness
in polishing. The hydrodynamics of rotating a large size wafer in solution do
not allow for a uniform boundary layer, this results in induction of a taper is into
the wafer. The projection lithography places demands on surface flatness that
makes the use of alkaline etching compulsory. In alkaline etching is apparently
dominated by the surface orientation. As in acid etching the reaction is twofold
when a mixture of KOH/H2O or NaOH/H2O is used. A typical formulation
uses KOH and H2O is a 45% wt. solution (i.e. 45% KOH and 55% H2O) at
900°C to achieve an etch rate of 25 μm/min for {100} surfaces.
Polishing
The wafer then undergoes a mirror like finish by making a coat of polish
on it. The process requires considerable operator attention for loading and
32 Chapter 1 Introduction to Silicon Wafer Processing
1.11 SUMMARY
Integrated circuits have developed with incredible levels of complexity,
exceeding 11 billion transistors per chip. This introductory chapter has
presented a historic review of Integrated Circuits from the first generation SSI
to VLSI. In the VLSI device arena this chapter reviewed some of the most basic
properties of semiconductor materials. An introduction to phase diagrams was
given for the crystal and a basic description of point, line, volume and area
defects of the crystal was also given because these parameters influence the
electrical properties and mechanical properties of the semiconductor. In the
second half of the chapter, crystal growth methods for silicon were presented.
Czochralski growth is the most common method for preparing silicon crystals.
Another growth technique for silicon is the float-aone process which offers
lower contamination than that normally obtained from the czochralski
technique. Float-zone crystals are used mainly for high-power, high-voltage
devices where high resistivity materials are required. Flote-zone technique
produces mainly small diameter wafers (>150 mm) while czochralski produces
large diameter wafer such as 350 mm. After a crystal is grown, it usually goes
through wafer-shaping operations to give an end product of highly polished
wafers with specified diameter, thickness and surface orientation.
PROBLEMS
1. Calculate the number of gallons of HF and HNO3 acid needed to
remove the work damage from 4000 wafers of 150 nm diameter.
2. Calculate the boron concentration in the crystal that would lead to
misfit dislocation formation at a temperature of 1100oC.
3. A CZ melt is simultaneously doped with boron to a level of 1016
atoms/cm3 and phosphorous to a level of 9×1016 atoms/cm3. Does a pn
junction form during growth? If so, at what fraction solidified?
4. What is clean room? Why it is required? What are international
standards of a typical clean room.
5. List various types of crystal defects that found in a lattice.
6. What is gettering process?
REFERENCES
1. Digest of the IEEE International Solid-State Circuits Conferences, held in
February of each year. (http://www.sscs.org/isscc)
34 Chapter 1 Introduction to Silicon Wafer Processing
21. W.C. Dash, “Silicon Crystals Free of Dislocations,” J. Appl. Phys. 29:736
(1958).
22. W.C. Dash, “Growth of Silicon Crystals Free from Dislocations,” J. Appl.
Phys. 30:459 (1959).
23. T. Abe, N.G. Einspruch and H. Huff, “Crystal Fabrication,” in VLSI Electron—
Microstructure Sci. 12, Academic Press, Orlando, F2, (1985).
24. W. Von Ammon, “Dependence of Bulk Defects on the Axial Temperature
Gradient of Silicon Crystals During Czochralski Growth,” J. Cryst. Growth
151:273 (1995).
25. K.M. Kim and E.W. Langlois, “Computer Simulation of Oxygen Separation
in CZ/MCZ Silicon Crystals and Comparison with Experimental Results,” J.
Electrochem. Soc. 138:1851 (1991).
26. K. Hoshi, T. Suzuki, Y. Okubo, and N. Isawa, “Extended Abstracts of E.C.S.
Spring. Meeting,” Electrochem. Soc. Ext. Abstr. St. Louis Meet., 811 (1980).
27. J.B. Mullin, B.W. Straughan, and W.S. Brickell, “Liquid encapsulation crystal
pulling at high pressures,” J. Phys. Chem. Solid, 26:782 (1965).
28. I.M. Grant, D. Rumsby, R.M. Ware, M.R. Brozea, and B. Tuck, “Etch Pit
Density, Resistivity and Chromium Distribution in Chromium Doped LEC
GaAs,” Semi-Insulating III-V Materials, Shiva Publishing, Nantwick, U.K.,
98 (1984).
29. K.W. Kelly, S. Motakes, and K. Koai, “Model-Based Control of Thermal
Stresses During LEC Growth of GaAs. II: Crystal Growth Experiments,” J.
Cryst. Growth 113(1-2):265 (1991).
30. R.M. Ware, W. Higgins, K.O. O’Hearn, and M. Tiernan, “Growth and
Properties of very Large Crystals of Semi-Insulating Gallium Arsenide,”
GaAs IC Symp., 2:54 (1996).
31. P. Rudolph and M. Jurisch, “Bulk Growth of GaAs: An Overview,” J. Cryst.
Growth 198-199:325 (1999).
32. S. Miyazawa, and F. Hyuga, “Proximity Effects of Dislocations on GaAs
MESFET,” IEEE Trans. Electron. Dev., 3:227 (1986).
33. R. Rumsby, R.M. Ware, B. Smith, M. Tyjberg, M. R. Brozel, and E. J. Foulkes,
“Technical Digest of 1983 GaAs IC Symposium”, Phoenix, 34 (1983).
34. H. Ehrenreich and J. P. Hirth, “Mechanism for Dislocation Density Reduction
in GaAs Crystals by Indium Addition,” Appl. Phys. Lett. 46:668 (1985).
35. G. Jacob, “Proc. Semi-Insulating III-V Materials,” Shiva Publishing,
Nantwick, U.K., 2 (1982).
36. C. Miner, J. Zorzi, S. Campbell, M. Young, K. Ozard, and K. Borg, “The
Relationship Between the Resistivity of Semi-Insulating GaAs and MESFET
Properties,” Mat. Sci. Eng B., 44:188 (1997).
36 Chapter 1 Introduction to Silicon Wafer Processing
2.1 INTRODUCTION
VLSI application requires that fabricated IC should have minimum latch-up
when they are powered, for that it require lightly doped thin film single crystal
silicon form on top of the heavily doped single crystal silicon. When a lightly
doped crystalline layer is grown over a heavily doped substrate by keeping
collector resistance low, a higher breakdown voltage can be achieved as well
as a higher operating speed and improved bipolar performance. In today’s
world silicon epitaxy become necessary to produce junctions (P-N Junctions),
devices (Diodes, BJTs, CMOS, BiCMOS and FinFET) as well as compound
semiconductors because it is affordable to create high quality crystal growth
of technologically important materials. Epitaxy helps to minimize the
occurrence of latch-up; improve performance of devices and better control of
the concentrations of doping on the devices can also be gained.
The term epitaxy refers to the “Growth of a crystalline layer on (epi) the
surface of a crystalline substrate and crystallographic orientation of the
substrate surface imposes a crystalline order (taxis) onto the growing film"
i.e. the grown film have a crystal structure with certain thickness. The grown
film crystal structure may be differs from their bulk so epitaxial deposition
has facility to add and arrange atoms upon the crystal surface.
Epitaxy is the regularly oriented controlled growth of one crystalline
material upon another. So substrate material act as a seed and the process
take place for below the melting temperature.The commercial importance
of epitaxy comes mostly from its use in the growth of semiconductor
materials for forming layers and quantum wells in electronic and photonic
38 Chapter 2 Epitaxy
Metal 1, Al-Cu
W BPSG
n+ n+ p+ p+
P-Well N-Well
Several epitaxial techniques are used to grow epitaxy layers of materials and
compound semiconductors. The prominent among these techniques are
• Liquid Phase Epitaxy (LPE),
• Vapour Phase Epitaxy (VPE),
• Molecular Beam Epitaxy (MBE),
• Chemical Beam Epitaxy (CBE),
• Atomic Layer Epitaxy (ALE)
Few basic techniques have been listed in this text.
H2
Push rod
Exhaust Boat
(a)
Melts Graphite
Quartz melt bins
pushrod Substrate
Graphite
slider
(b)
Fig. 2.2 (a) Growth furnace for LPE growth (b) Expanded schematic diagram of boat
Furnace
Substrate
Solution
The dipping technique uses a vertical furnace is shown in figure 2.4. The
solution is contained in alumina or graphite crucible at the bottom end of the
3-aone furnace. The substrate fixed in a movable holder is initially positioned
above the solution. At the desired temperature, growth is initiated by immersing
the substrate in the solution and it is terminated by withdrawal of the substrate
from the solution under the inert gas ambient. The apparatus used for the
tipping and dipping techniques is very simple and easy to operate. However,
growth of multiple layers by these techniques would require considerably
more complex apparatus.
He
Quartz
3 zone turnace
Substrate
Solution
Radiation shield
Exhaust
Thermocouple
The third LPE technique known as the sliding technique uses a multibin
graphite boat to grow multiple epitaxial layers. Figure 2.5 shows a LPE
system with sliding technique. The principal components of this apparatus
are a massive split graphite barrel with a graphite slider, a fused silica growth
tube for providing a protective atmosphere and a horizontal resistance furnace.
The graphite barrel has number of solution chambers depending on desired
layers number to be grown, and the slider has two slots for the precursor seed
substrate and the growth substrate. The substrate is brought into contact with
the solutions by motion of the barrel over the slider so this operation can
effortlessly be automated. The fused silica tube is usually within a heat pipe
thermal liner in the furnace to ensure uniform temperature.
Ga-Ga As
solution
Resistance Graphite lid
furnace
Hydrogen
Ga As slice
LPE has the advantages of low capital cost, high deposition rates, high material
purity, no toxic gases as remnant and a relatively wide selection of dopants.
Some disadvantages are listed as an inability to produce abrupt (monolayer)
interfaces; poor large area uniformity; difficulty in varying stoichiometry and
less controlling in the reproducibility of ternary III-V compounds. Advances
in LPE equipment have allowed superlattice structures of 200-300 Å thick
layers to be produced. Despite such progress LPE is not considered amenable
to large scale high volume automated manufacturing.
HCl + H2
HCl + H2
Source Mixing Cham- Deposition zone
zone zone ber
830°C 900°C 795°C 660°C
H2
AsH3
PH3
H2
vapor HCl etching before the epitaxial layer deposition process. The deposition
process is then initiated by directing the reactant gases into the reactor chamber
where the preheated substrate is located.
The VPE growth method can be devided into the following steps and the flow
diagram of the processing steps is shown in figure 2.7:
1. Introduction and transfer of the reactant species to the substrate region
2. Adsorption of the reactant species on the surface of substrate
3. Surface reaction such as surface diffusion, site accommodation,
chemical reaction, and layer deposition take place on the substrate
surface
4. Desorption of residual reactants and by-products from substrate surface
5. Transfer and removal of residual reactants and by-products from the
substrate region
Carrier gas
+
Carrier gas
unreacted reactants
+
+
reactants
products
Transfer of Transfer of
products to products to
main flow main flow
Absorption of Desorption of
reactants products
Surface diffusion
Surface reactions
Substrate
Fig. 2.7 Flow diagram of the sequence of steps in a VPE process
Y Y Y
Upper boundary layer
O X Susceptor
C-Concentration Profile
V-Velocity Profile
T-Temperature Profile
The reactant flux across the boundary layer is equal to the chemical reaction
rate (ks) in a steady state at the sampling surface. Therefore,
46 Chapter 2 Epitaxy
J = k s ns ... (2.4)
ng
and ns = ... (2.5)
ksy
1+
D
The quantity D/y is often called the gas phase mass-transfer coefficient (hg).
So there are two limiting cases (1) when, ns tends to zero so the reaction is
limited by transport of reactant through the boundary layer. (2) when, ns ~ ng
so the surface chemical reaction rate dominates the growth process.
T = 1200°C
Input Si Cl4 6.25 × 10–4 Moles/L
Flow Velocity 4.4 cm/s (25°C)
10–3 SI normal deposit zone
HCl
10–4
SiHCl3
SiHCl4
10–5 SiH2Cl2
5 10 5
X Position (cm)
A starting point in the analysis is to regulate the Si-Cl-H system, the equilibrium
constant of each possible reaction and gaseous species partial pressures at the
2.3 Vapor Phase Epitaxy/Chemical Vapor Deposition 47
Temperature (°C)
1300 1200 1100 1000 900 800 700 600
1.0
0.5 SiH4
SiH2Cl2
Growth rate (mm/min)
0.2 SiHCl3
SiCl4
0.1
B
.05
A
.02
.01
0.7 0.8 0.9 1.0 1.1
103/T(K)
2.3.3 Doping
The impurity atoms hydrides are commonly used as the source of dopants
during epitaxial growth. For instance,
2AsH3 (g) 2As (s) + 3H2 (g)
2As (s) 2As+ (s) + 2e–
The incorporation process of dopant is illustrated schematically in figure 2.11.
SiH2Cl2
AsH3
H2
HCl
Si AsH3
As H
A
Dopant Concentration (Log Scale)
Solid-State
Out Diffusion
Gas-Phase
Autodoping
Tail B
Intentional Doping
(Gas Phase)
Epitaxy Substrate
Vertical Depth
Fig. 2.12 Comprehensive doping profile of an epitaxial layer having
the various regions of autodoping
2.3 Vapor Phase Epitaxy/Chemical Vapor Deposition 49
Example 2.1
3.46 eV
If the intrinsic diffusivity of boron in silicon is given by D = 0.76e kT
calculate the minimum growth rate that is required when a silicon epilayer is
grown on a heavily boron-doped silicon substrate for 20 minutes at 1200oC
in order that autodoping becomes insignificant. Discuss why a low growth
temperature is essential to achieve a sub-micrometer thick silicon epitaxial
layer.
Solution:
3.46 eV
Diffusivity D = 0.76e kT
3.46
1.38 × 10–23
× 1473
At 1200°C D = 0.76e 1.6 × 10–19
3.46
= 0.76e 0.127
2.3.4 Reactors
Susceptors in epitaxial reactors are the analogs of crucibles in the crystal
growing process. They provide mechanical support for the wafers and are the
source of thermal energy for the reaction in induction-heated reactors. The
geometric shape or configuration of the susceptor usually provides the name for
reactor. There are three commercial epitaxial reactor designs: barrel, vertical,
50 Chapter 2 Epitaxy
and horiaontal, as shown in figure 2.13. In reactors, the reaction tube is either
relatively cool during operation named as “Cold wall” or relatively hot named
as “hot wall” reactor system. The growth rate is determined by mass transfer
rate to the surface at high substrate temperatures and by chemical reaction rate
on the surface at low substrate temperatures. The usual process for the CVD
of polysilicon is hot wall operation. A water cooled coil is placed close to the
susceptor so coupling can occur. The horizontal reactor is mostly used system
because it offers high capacity and throughput but its drawback is nonuniform
deposition over the entire susceptor. The disadvantage can be minimized
by tilting the susceptor to 1.5-3° mitigate the non-uniformity substantially.
In contrast, the vertical pancake reactor is capable of fine uniform growth
with minimal autodoping problems but its disadvantages include mechanical
complexity, low throughput, and susceptibility to particulate incorporation.
Lastly the barrel reactor is an expanded version of the horizontal reactor in
a different configuration. When used with a tilted susceptor, radiant-heated
barrel reactors allow high-volume production and uniform grow.
Heating Coils
Radiation
Heating
Coils
Wafers Wafers
Wafers
Reactants
Reactants
Heating Reactants
Coils and
byproducts
Reactants and
byproducts
2.4 DEFECTS
Epitaxial growth not only can introduce defects but also propagate defects.
If these defects are in the active region of the wafer where the transistors
are fabricated, they will often lead to device failures. These failures can be
caused directly by electronic states associated with the defects, which lead
to excessive leakage. Failures may also be less direct. The crystal perfection
is frequently inferior of an epitaxial layer and can never exceeds that of the
substrate. The crystal perfection is a function of the epitaxial process and the
2.4 Defects 51
properties of the substrate wafer itself. During processing, the defects may
trap other impurities in the wafer that contribute to these electronic states.
The defects may also lead to excessive impurity diffusion during processing,
which changes the physical device structure.
Figure 2.14 illustrates some of the common structural defects in an epitaxial
layer. Usually, defects can be reduced by a high operating growth temperature,
high vacuum pressure, lower growth rate and cleaner substrate surface. A
typical pre-epitaxy substrate cleaning process consists of a wet clean followed
by a dilute HF dip and an in-situ HCl or SF6 vapor etch.
Stacking Fault Form Stacking Fault Form
Surface Nucleation Substrate Stacking Fault
The most common types of defect in epitaxial silicon layers are dislocations
and stacking faults. Dislocations (1) are extra or missing lines of atoms;
stacking faults are an extra plane of atoms inserted into the crystal or a missing
plane of atoms in the crystal. Dislocations are the 2-D analog of the stacking
fault. Dislocations are fewer noticeable on the surface of the wafer but they
are a serious yield concern. Dislocations may simply propagate from substrate
dislocations. Stacking faults (2) in silicon normally occur in the <111>
directions. With (100) wafers the stacking fault appears as a line along the
<110> directions. Spikes (4) are protrusions from the epitaxial layer that show
little or no alignment with the crystal directions it can be related to the onset
of 3-D growth. Stacking faults and spikes often originate from a defect on
the original wafer surface. These original defects include oxygen, metallic or
alloy impurities, oxidation-induced on the wafer, and particles dumped on the
surface of the wafer. Improvements in cleaning procedures of the wafers have
dramatically reduced stacking fault densities in production silicon VPE.
52 Chapter 2 Epitaxy
a higher base contact resistance. One of the first improvements in the basic
3-D technology is the addition of a buried collector. This is a heavily doped
diffusion under the collector that shorts out the otherwise large collector series
resistance. The use of buried collectors implies that the collector must be
grown epitaxially on the substrate. This technology has been called Standard
Buried Collector (SBC). Oxide-isolated SBC was the mainstay of the bipolar
IC industry through the mid-1970s.
For Bipolar transistors it is necessary to align the upper device layers
with this buried layer. To do this alignment marks may be etched into the
substrate before epitaxial growth. Pattern shift is the tendency for position of
the alignment marks to appear to move after the growth (Figure 2.15). The
cause of pattern shift is the dependence of the growth rate on the exposed
crystal orientation. Pattern shift is much less pronounced in (100) wafers
than in (111). Generally, the lower chlorine content precursors (SiH2Cl2 and
SiHCl3) also show less pattern shift as does growth at higher temperature.
The preferred method of measuring the film thickness is Fourier Transform
Infrared (FTIR) spectroscopy, for relatively thick epitaxial layers, in which an
infrared source is sent through a beam splitter to a movable mirror and to the
surface of the wafer. The reflected radiation from both surfaces is added and
sent to a detector. The distance of the mirrored path is cleared and monitoring
of the intensity of the reflected beam as a function of the position of the mirror
is done. The separation between these peaks is proportional to the thickness of
the epitaxial layer.
Emitter Base Collector
Al.Cu.Si
SiO2
n+ p n+
p+ p+
n-Epi
Electron Flow
n+ Buried Layer
P-substrate
Wafer
(Achieves Optimum Epitaxial Orientation
Growth and Minimum Pattern (111) Off a°
Shift) Townley 1973 Towards (110)
Fig. 2.16 Off-orientation <111> wafer cut from (111) crystal ingot.
2.0
1.5
1.0
0.5
–0.5
10 100 1000
Pressure (Torr)
Because of the “negative” pattern shift concept, process changes that “reduce”
pattern shift must be measured in the algebraic sense. That is, if “negative”
pattern shift is present, process changes that would “increase” pattern shift
2.5 Technical Issues for Si Epitaxy by CVD 57
would actually cause the “negative” shift to be closer to zero. Process changes
that would “decrease” pattern shift will actually cause “negative” pattern shift
to become more “negative” or further from zero. Pattern shift ratios versus
pressure are provided in figure 2.18 for a radiantly heated cylinder and an
induction heated vertical reactor. For pattern shift ratios above zero, decreasing
the pressure and growth rate brings the shift closer to zero. For pattern shift
ratios below zero, increasing the pressure and growth rate bring the shift closer
to zero.
0.85 mm/min
0.8
0.50 mm/min
0.6
0.40 mm/min
Pattern Shift Ratio (mm/mm)
0.4
0.15 mm/min
0.2
–0.2
–0.4
Estimated
–0.6 SiH2Cl2 Experimental
(111)-Off 3°
–0.8
= Induction Vertical
1050°C-Optical
1110°C-Corrected
0.15-0.85 mm/min
Fig. 2.18 Pattern shift ratio versus pressure for various growth rates in
vertical and cylinder reactors.
2.6 AUTODOPING
Autodoping is the existence of unwanted dopant that contributed to the epitaxy
layer by the wafers themselves. The intrinsic doping level is unwanted dopant
contributed by the reactor parts. Autodoping are recognized of two types:
• Macro-autodoping in which dopant from the wafer surfaces both front
and back surface contribute dopant generally to all the growing layers.
• Micro-autodoping in which dopant from one location migrates to
another location on the same wafer.
Autodoping increases with increasing vapor pressure and increasing diffusion
rates of the dopant. Sb causes the least autodoping, followed by As, B and P.
Macro-autodoping can be reduced by many techniques, including:
• The back of the wafer is sealed with oxide, nitride, or polycrystalline
silicon fabricated by CVD process in the wafer preparation steps before
epitaxy.
• Operating the reactor so that backside transfer of silicon occurs to seal
the wafer’s back during HCl etch. The process is effective but requires
etch/coat time.
• Using a two-step process in which a undoped thin cap layer is deposited
first, the system is then purged with frequency and the desired epitaxy
layer is grown. This process is also effective for plug flow reactors
where the gas composition can be quickly changed.
• Using a low/high temperature sequence in that the surface of the wafer
is depleted of dopant through a high temperature bake then follow to a
lower temperature epitaxy growth step. This process is mostly used for
As.
. Decreasing the gas residence time by increasing the total flow rate and/
or reducing the reactor pressure or volume.
• Operating at reduced pressure where the escaping tendency of the
dopant on wafer surface and number of volume changes per minute is
greatly increased (most effective for As).
• Reducing the concentration of dopant on the wafer surface by using ion
implantation instead of dopant diffusion to dope the wafer surface prior
to epitaxy growth (effective for all dopants). Micro-doping is depicted
schematically in figure 2.19. Here, the n+ buried layer encroaches into
the epitaxy layer by a combination of solid state diffusion and vapor
transport during growth. Both vertical and lateral autodoping occur.
Vertical autodoping is dopant moving vertically into the growing layer;
lateral autodoping is movement to the sides of the buried layer region
2.7 Selective epitaxy 59
N
P+ P N–Epitaxy
P+
N
Autodoping
N+ Buried Layer
P-Substrate
etched to disconnect it from the single substrate, thereby creating high quality
single crystal islands on top of thermally grown oxide. Such structures have
considerable promise for three-dimensional device structures.
Selective epitaxy with polycrystalline silicon overgrowth utilizes deposition
conditions that promote nucleation, such as:
• The absence of chlorine.
• The use of SiH4.
• The use of lower temperatures and higher pressures.
Selective epitaxy with polycrystalline silicon overgrowth can be accomplished
with SiH4 at 975°C and 760 torr deposition pressure. In device applications,
the polycrystalline silicon overgrowth provides a place to create heavily doped
contacts to the single crystal area without affecting the more lightly doped
device regions.
Buffer
Pump Growth Chamber
Chamber
Load
Pump
Lock
Auxillary
Chamber Pump
Pump (Deposition/
Material
Analysis)
The growth chamber is shown in greater detail in figure. 2.21. Its main elements
are: sources of molecular beams; a manipulator for heating, translating and
rotating the sample; a cryoshroud surrounding the growth region; shutters to
occlude the molecular beams; a nude Bayard Alpert gauge to measure chamber
base pressure and molecular beam fluxes; a RHEED (Reflection Electron
Diffraction) gun and screen to monitor film surface structure and a quadrupole
mass analyaer to monitor specific background gas species or molecular beam
flux compositions. The auxiliary chamber may be host to a wide variety of
process and analytical equipment. Typical surface analytical equipment would
be: an Auger electron spectrometer, or equipment for Secondary Ion Mass
Spectrometry (SIMS), ESCA (Electron Spectroscopy for Chemical Analysis)
or XPS (X-ray Photoelectron Spectroscopy). There may be a heated sample
2.9 Physical Vapor Deposition (PVD) 63
station and an ion bombardment gun for surface cleaning associated with this
equipment. Process equipment may include sources for deposition or ion beam
etching.
Molecular Beams
Effusion Cells Rheed
Gun
Pump
BFM
Substrate Heater
To Prep
Chamber
Car
Assembly Cryopanels
Fluorescent
Shutters Screen
Molecules Beam
Generation Zone
source. They then move in an UHV environment and impose on a hot substrate
surface, where they can diffuse and eventually incorporate into the growing
film. The MBE growth process involves controlling to achieve epitaxial
growth via shutters, source temperature, molecular and/or atomic beams
directed at a single crystal sample (suitably in-situ heated). The beams are
thermally generated in Knudsen-type effusion cells (shown in figure 2.22) that
contain the essential elements or compounds of the desired epitaxial films.
The temperatures of the cells are precisely controlled to give the thermal
beams of appropriate intensity. The beam fluxes emerging from these non-
equilibrium effusion cells are generally determined experimentally in most
cases using movable nude ionization gauge placed in the substrate position.
The cells are made from Pyrolytic Boron Nitride (PBN) or high purity graphite
materials, those are non-reactive, refractory materials that can withstand high
temperatures and strictly do not contribute to the molecular beams.
The cell consists of an inner crucible and an outside tube which is wound with
Ta or Mo wires for resistive heating. The various cells are all placed and angled
in such a way that their beams converge on the substrate for epitaxial growth.
A chemically stable W-Re thermocouple facilitates precise control of the cell
temperature which is very essential for achieving constant growth rates since
small temperature fluctuations of the order of +1.C can result in +2 to 4 percent
fluctuations in molecular beam intensity. Individual shutters provided for each cell
and the cell temperature can be computer controlled to achieve high reproducibility
with little human interventions. The cells are individually surrounded by a
liquid nitrogen covering to prevent cross heating and cross contamination. For
group V elements, a high temperature cracker which dissociates the tetramers
to dimers, with internal buffer is incorporated at the exit end of effusion cell.
The gas background necessary to minimize unintentional contamination is
predicated by the relatively slow film growth rate of approximately 1mm/h and
is usually in the 10–11 torr range. At this pressure, the mean free path of gases
in the beams themselves is several orders of magnitude greater than the normal
source-to-sample distance that of about 15 cm. Hence, the beams impinge
unreacted on the sample with a cryo-shroud cooled by liquid nitrogen. Reactions
take place predominantly at the substrate surface where the source beams are
incorporated into the developing film. Proper initial preparation of the substrate
will present a clean, single crystal surface upon which the developing film can
deposit epitaxially. Actuation properly and timely of the source shutters allows
film growth to be controlled to the monolayer level. Monolayers level formation
ability and precisely control epitaxial film growth and composition has attracted
the attention of material and device scientists towards MBE.
2.9 Physical Vapor Deposition (PVD) 65
Silicon MBE is performed under UHV conditions of 10-8 to 10–10 torr. The
mean free path of the atom is given by 5×10–3/P where P is the system pressure
in Torr. Transport velocity is dominated by thermal energy effects at a typical
pressure of 10–9 torr and L is 5×106 cm. The lack of intermediate reactions and
diffusion effects, coupled with relatively high thermal velocities, results in film
properties changing rapidly with any change of the source. The typical growth
temperature in order to reduce autodoping and out-diffusion is between 400oC
and 800oC. Growth rates are in the range of 0.01 to 0.3 mm/minute.
In
GND Out VD
GND VD
P+ N+ N+ P+ P+ N+ Out
N+ P N+ P+ N P+
N-well
P-substrate
N-Channel P-Channel
Bulk CMOS SOI CMOS
misfit dislocations, edge dislocations and stacking faults in SOS devices, with
the defect density varying inversely with the distance from the substrate. The
coefficients of thermal expansion difference between the silicon and sapphire
also results in a residual stress within the silicon layer also tends to reduce hole
mobility. This, coupled with the lower hole and electron mobilities caused by
defects, ultimately results in poorer performance of MOS devices by SOS in
contrast to those fabricated on bulk silicon.
(100) Silicon
2.13 SUMMARY
Epitaxy process is a integral part of circuit manufacturing. This chapter starts
discussion with homo-epitaxial and herto-epitaxial processes. Homo-epitaxial
silicon structures remain the popular design choice but SIO and SOS are
Problems 69
PROBLEMS
1. Determine the amount of mask compensation needed for an epitaxial
wafer of <150> orientation containing an antimony buried layer with
an epitaxial thickness of 6 μm.
2. In a 1 h process at 1000°C using dichlorosilane, a 10μm layer is grown
on 25 substrate of 150 mm diameter in a horizontal reactor. Adopt
growth rate of 1.5 μm/minutes.
3. Calculate the number of liters of hydrogen at STP that would have to
be supplied into the reactor for process of problem 2. What do you
determine?
4. Compare liquid phase epitaxy and vapour phase epitaxy. Which one is
more suitable and why?
5. What are doping and anti doping? Explain their role in semiconductor
manufacturing.
70 Chapter 2 Epitaxy
REFERENCES
1. H. Manasevit and R. Simpson, “A Survey of the Heteroepitaxial Growth of
Semiconductor Films on Insulating Substrates,” J. Cryst. Growth 22:125
(1974).
2. P.K. Vasudev, “Silicon-on-Sapphire Heteroepitaxy,” Epitaxial Silicon
Technology, Academic Press, Orlando, FL, (1986).
3. W.I. Wang, “Molecular Beam Epitaxial Growth and Materials Properties
of GaAs and AlGaAs on Si (100),” Appl. Phys. Lett. 44:1149 (1984).
4. W.T. Masselink, T. Henderson, J. Klem, R. Fischer, P. Pearah, H. Morkoc,
M. Hafich, P. D. Wang, and G. Y. Robinson, "Optical Properties of GaAs
on (100) Si Using Molecular Beam Epitaxy,” Appl. Phys. Lett. 45:1309
(1984).
5. T. Soga and S. Hattori, “Epitaxial Growth and Material Properties of
GaAs on SiGrown by MOCVD,” J. Cryst. Growth 77:498 (1986).
6. T. Soga, S. Hattori, S. Sakai, M. Takeyasu, and M. Umeno, “MOCVD
Growth of GaAs on Si Substrates with AlGaP and Strained Layer
Superlattice Layers,” J. Appl. Phys. 57:4578 (1985).
7. R. People and J.C. Bean, “Calculation of Critical Layer Thickness Versus
Lattice Mismatch for GexSi1-xAs: Strained-Layer Heterointerfaces,”
Appl. Phys. Lett. 47:322 (1985); 49:229 (1986).
8. A.J. Shuskus, T.M. Reeder, and E.L. Paradis, “rf-sputtered aluminum
nitride films on sapphire," Appl. Phys. Lett. 24:155 (1974).
9. G.B. Stringfellow, “Organometallic Vapor-Phase Epitaxy,” Academic
Press, Boston, (1989).
10. R.M. Lum, J.K. Klingert, and M.G. Lamont, “Comparison of Alternate
As-sources to Arsine in the MOCVD Growth of GaAs,” in Fourth Int.
Conf MOVPE, 1-3 (1988).
11. C.H. Chen, C.A. Larsen, G.B. Stringfellow, D.W. Brown, and A.J.
Robertson, “MOVPE Growth of InP Using Isobutylphosphine and tert-
Butylphosphine,” J. Cryst. Growth 77:11 (1986).
12. R.J. Field and S.K. Ghandhi, “Doping of GaAs in a Low Pressure
Organometallic CVD System,” J. Cryst. Growth 74:543 (1986).
13. T.F. Kuech, “Metal-Organic Vapor Phase Epitaxy of Compound
Semiconductors,” Mater. Sci. Rep. 2:1 (1987).
14. D.J. Schlyer and M.A. Ring, “An Examination of the Product-Catalyzed
Reaction of Trimethylgallium with Arsine,” J. Organometall. Chem. 114:9
(1976).
References 71
15. D.H. Reep and S.K. Ghandhi, “Deposition of GaAs Epitaxial Layers by
Organometallic CVD,” J. Electrochem. Soc. 130:675 (1983).
16. P. Rai-Chaudhury, “Epitaxial Gallium Arsenide from Trimethylgallium
and Arsine,” J. Electrochem. Soc. 116:1745 (1969).
17. Y. Seki, K. Tanno, K. Iida, and E. Ichiki, “Properties of Epitaxial GaAs
Layers from a Triethylgallium and Arsine System,” J. Electrochem. Soc.
122:1108 (1975).
18. T.F. Kuech, M.A. Tischler, P.J. Wang, G. Scilla, R. Potemski, and
F. Cardone, “Controlled Carbon Doping of GaAs by Metallorganic Vapor
Phase Epitaxy,” Appl. Phys. Lett. 53:1317 (1988).
19. B.T. Cunningham, M.A. Haase, M.J. McCollum, J.E. Baker, and G.E.
Stillman, “Heavy Carbon Doping of Metallorganic Chemical Vapor
Deposition Grown GaAs Using Carbon Tetrachloride,” Appl. Phys. Lett.
54:1905 (1989).
20. B.T. Cunningham, L.J. Guido, J.E. Baker, J.S. Major, N. Holonyak and
G. E. Stillman, “Carbon Diffusion in Undoped n-type and p-type GaAs,”
Appl. Phys. Lett. 55:687 (1989).
21. M.A. Tischler, “Advances in Metallorganic Vapor-Phase Epitaxy,” IBM J.
Res. Dev, 34:828 (1990).
22. T.F. Kuech, E. Veuhoff, D.J. Wolford, and J.A. Bradley, “Low Temperature
Growth of AlxGa1-xAs by MOCVD,” GaAs, Related Compounds, 11th
Int. Symp., p. 181 (1985).
23. J.R. Shealey and J.M. Woodall, “A New Technique for Gettering Oxygen
and Moisture from Gases in Semiconductor Processing,” Appl. Phys. Lett.
68:157 (1984).
24. J.F. Gibbons, C.M. Gronet, and K.E. Williams, “Limited Reaction
Processing: Silicon Epitaxy,” Appl. Phys. Lett., 47:721 (1985).
25. S.A. Campbell, J.D. Leighton, G.H. Case, and K. Knutson, “Very Thin
Silicon Epitaxial Layers Grown Using Rapid Thermal Vapor Phase
Epitaxy,” J. Vacuum Sci. Technol. B, 7:1080 (1989).
26. M.L. Green, D. Brasen, H. Luftman, and V.C. Kannan, “High Quality
Homoepitaxial Silicon Films Deposited by Rapid Thermal Chemical
Vapor Deposition,” J. Appl. Phys., 65:2558 (1989).
27. T.Y. Hsieh, K.H. Jung, and D.L. Kwong, “Silicon Homoepitaxy by Rapid
Thermal Processing Chemical Vapor Deposition,” J. Electrochem. Soc.,
138:1188 (1991).
72 Chapter 2 Epitaxy
41. W.K. Burton, N. Cabrera, and F.C. Franks, “The growth of crystals and
the equilibrium structure of their surfaces,” Philos. Trans. R. Soc. London,
Ser. A 243:299 (1951).
42. M.G. Legally, “Atoms in Motion on Surfaces,” Phys. Today 46:24 (1993).
3
Oxidation
3.1 INTRODUCTION
Silicon is unique among semiconductor materials and what makes it so
popular is that silicon forms an excellent native oxide, SiO2 with ease. This
oxide is widely used as an insulator both in active devices such as MOSFETs
and in the region between the active devices, known as the field.
It is privileged that silicon forms protective oxide easily, otherwise we should
have to depend upon deposited insulators.
In oxidation process, a semiconductor or metal is converted to an oxide. In
technology, oxidation of various materials plays a role, but conversion of
parts or fully of a semiconductor wafer into SiO2 is chief oxidation process.
At high temperature, to generate SiOx, the chemical reaction between
oxygen and silicon takes place. Besides this high temperature requirement,
a shallow layer of native oxide, approximately 0.5 nm to 2 nm thick can
be grown. But this shallow layer is not suitable for most applications. The
heavy layer is grown by consuming the underlying Si to form SiOx . This is
known as grown layer. A chemical vapor deposition (CVD) process using Si
and O precursor molecules is also use to grow SiOx . This layer is known aa
deposited layer.
One major function of SiOx is to protect the wafer from contamination, both
physical and chemical. The oxide layer does not allow dust from interacting
with wafer and protects the wafer surface from scratches. Thus, it helps
in contamination minimization. Other than this, oxide layer also protects
the wafer from chemical impurities. For doping SiOx acts as a hard mask
and during patterning as an etch stop. SiOx was also used for separating
different metallization layers, though this is usually a deposited layer.
76 Chapter 3 Oxidation
To avoid induced charge due to the metal layers, also oxide layer is deposited.
Then, this layer is known as field oxide. For different applications, different
thickness of the oxide layer is required. These are shown in figure 3.1.
Thermally Grown Oxides Deposited Oxides
Oxide
Thickness
Backend Insulators
1 mm Field Oxides Between Metal
Layers
Pad Oxides
10 nm
Gate Oxides
Tunneling Oxides
Properties SiO2
Crystal Structure Amorphous
Melting Point (oC) 1700 appx.
Density (gm/cm3 ) 2.2
Dielectric Constant 3.9
Refractive Index 1.46
Dielectric Strength (V/cm) 107
Energy gap at 300 K(eV) 9
DC resistivity at 25oC (ohm-cm) 1014–1016
Thermal Conductivity at 300K (W/cm-degree K) 0.014
Infrared absorption bend (μm) 9.3
Atomic Weight 60.08 g/mole
Molecules 2.3*1022/cm3
Specific Heat 1.0 J/g-K
Thermal Expansion Co-efficient 5.6*10-7/K
Young’s Modulus 6.6*106 N/m2
Poisson’s Ratio 0.17
3.1 Introduction 77
Application
Following are some important applications of silicon dioxide:
1. Quartz is used in the glass industry as a raw material for manufacturing
glass.
2. Silica is used as a raw material for manufacturing concrete.
3. Silica is added to varnishes because of its hardness and resistance to
scratch.
4. Amorphous silica is added as fillers to the rubber during the
manufacturing of tires. This helps reduce the fuel consumption of the
vehicle.
5. Silica is used to produe silicon.
6. As silica is a good insulator, it is used as a filler material in electronic
circuits.
7. Quartz has piezoelectric properties so it is also used in transducers.
8. Its ability to absorb moisture is utilised by using as a desiccant.
Roles
The SiO2 layer has multipurpose role on chip. Si is the only semiconductor
material which has a native oxide. This native oxide achieve all the properties
of SiO2. The SiO2 role in semiconductor fabrication can be described as:
1. During diffusion on ion implantation process, it provides mask role for
dopants. It acts as a diffusion mask and allows selective implantation
into silicon wafer. This is achieved via the window etched into oxide.
2. Oxide layer provides surface passivation. It creates a shield of SiO2
layer on the wafer surface. It defends the junction from moisture.
3. Another feature is device isolation. It forms an insulation layer on the
water surface.
4. As component in MOS structures (gate oxides). In MOS devices
silicon dio-oxide acts as the active gate electrode.
6. In multi-level metallization systems, it provides electrical isolation.
7. High thermal conductivity
8. No diffusion of Cu or other ions into dielectric
9. No leakage between conductors
78 Chapter 3 Oxidation
SiO2 surface
Original Si Surface
SiO2
Silicon substrate
As the underlying Si is consumed, the SiO2 interface travels deeper into the
wafer. Thus, we obtain the higher thickness as compared to the initial Si
thickness. A oxide layer silicon interface is shown in figure 3.3.
Here, d = thickness of the original Si layer
Si has a density of 2.33 gcm-3 (pSi) and an atomic weight of 28.08 gmol-1
(ZSi) while SiO2 has a density of 2.65 gcm-3 (pSiO ) and a molecular weight
2
of 60.08 gmol-1 (ZSiO2).
Given that the cross section area, A, is the same it is possible to use the law
of molar conservancy to derive the relation between d and D. This can be
represented as
dApSi DApSiO2
= ... (3.1)
ZSi ZSiO2
Original Si D SiO2
Interface d
Si Substrate
10
1200°C
1100°C
1000°C
1.0 900°C
Oxide Thickness Xo(mm)
840°C
0.1 740°C
0.01
Undoped (111)-Si
Undoped poly-Si
Undoped (100)-Si
0.001
0.1 1.0 10
Time t (hour) (B)
10
1200°C
1.0
1100°C
1000°C
Oxide Thickness Xo(mm)
890°C
0.1
0.01
Undroped (111)-Si
Undroped poly-Si
Undroped (100)-Si
0.001
0.1 1.0 10
Time t (hour) (A)
It is clear that wet oxidation process has much higher oxidation rates compared
to dry oxidation, by approximately 600 nm/h. The reason for this higher
oxidation rate is the ability of hydroxide (OH–) to diffuse through the already-
grown oxide much quicker than O2. This widens the oxidation rate bottleneck
when growing thick oxides. Having the fast growth rate, wet oxidation is
normally used where thick oxides are required, for example masking layer,
insulation and passivation layers.
Table 3.2 Comparison of growth rate of dry and wet oxidation
Table 3.3 Comparison of growth rate of dry and wet Oxidation with temperature
CS
CG
C0
F1 Ci
F2
F3
x
Gas Oxide
(111)Si
(100)Si
0.1
(111)Si
(100)Si Dry O2
1.0 En = 2.0 eV
B/A (111)
= 1.68
B/A (100)
0.001
0.0001
0.6 0.7 0.8 0.9 1.0 1.1
1
( ) 2
d0
( t +T )
A = (1+ A2 )
–1 ... (3.15)
2 ( 4B )
°C
°C
°C
C
00
00
00
0°
0°
12
11
10
90
80
1.0
E0 = 0.78 eV
0.1
0.01
Dry O2
E0 = 1.23 eV
0.001
0.6 0.7 0.8 0.9 1.0
Temperature 1000/T (K–1)
Fig. 3.8 Rate constant B for thicker oxide growth. Due to the difference in diffusion
species the activation energy for wet oxidation is lower than the dry oxidation.
(Courtesy VLSI fabrication principles – S.K. Gandhi)
103
<100> Silicon Dry 5 4 3 2 1
Oxidation
Oxide Thickness (A)
Increasing
102
temperature
1. 800°C
2. 850°C
3. 900°C
4.950°C
5. 1000°C
101
10–1 100 101 102 103 104
Oxidation Time (Minutes)
Fig. 3.9 Thin oxide growth rate for Si (100) at different temperature (Courtesy VLSI
fabrication principles – S.K. Gandhi)
3.4 Impurities effect on the Oxidation Rate 87
1.2
1
0.8
0.6
0.4
0.2
0
–7/700 –1/900 –1/1100
–1/T (°C)
Figure 3.10, shows the oxide thickness and temperature ratio. It suggests that
there exists a exponential relationship between the thickness (d) and inverse
negative temperature
d ~ e-1 / T
The diffusivity (D) of oxygen and water depends largly on temperature,
D ~ e–c / T
where c = constant independent of temperature.
As, oxidant diffusivity increases exponentially with increase in temperature,
so at same line oxidation rates should increase. This happens because the
diffusivity of oxidants is the rate-limiting step when thicker oxides (~30 nm)
are grown.
Besides these impurities silicon damage also affects oxidation rate. The wet
oxidation has a significantly higher rate than dry oxygen, so any unintentional
moisture accelerates the dry oxidation. High concentrations of sodium
influence the oxidation rate by changing the bond structure in the oxide,
thereby enhancing the diffusion and concentration of the oxygen molecules
in the oxide. Both water vapor and oxygen diffuse easily through SiO2 at this
high temperature. This is shown in figure 3.11.
Temperature (°C)
1200° 1100° 1000° 900° 800° 700° 600° 550°
105
H2
Na
EA = 1.18 eV
104
Diffusivity, D (mm2/hr)
O2
103
H2 O
102
EA = 0.79 eV
10
0.7 0.8 0.9 1.0 1.1 1.2
1000/T (K–1)
Fig. 3.11 Diffusion of Hydrogen, Oxygen, Sodium and water vapor in Silicon Glass
[Copyright John Wiley & Sons Courtsey Ref. [26]
oxidation rate. Some impurities like aluminum, indium and gallium first get
segregate into the oxide and later diffuse rapidly through. These impurities
does not effect the oxidation kinetics. In Phosphorus impurity, impurity
segregation occurs in Si rather than SiO2. The same holds true for other
impurities like As and Sb dopants.
Oxidation rate cam be enhanced by using Halogen (such as chlorine)
impurities. It is introduced intentionally. Following points plays a role in
increasing oxidation rate
1. By reducing sodium ion contamination,
2. By increasing oxide breakdown strength,
3. By reducing interface trap density.
Traps can be considered as levels in the forbidden energy gap. These are
linked with defects in the silicon.
The high dielectric strength shows the stability of SiO2 under high electric
fields. It suggests that the oxide film is very suitable for dielectric isolation.
Strong, directional covalent bonds, forms the silicon dioxide. SiO2 possess a
well local structure having four oxygen atoms. These atoms are arrayed at the
90 Chapter 3 Oxidation
Si
O O
O
O Si O
Si
O
O
O O
Si
O
O O Si
O
Mobile ionic
K+
charge (Qm)
Na+ SiO2
Oxide trapped
charge (Qot) Fixed oxide
charge (Qf)
SiOx
Interface trapped Si
charge (Qit)
Interface-trapped charges
This type of charge, is the charge due to electronic energy levels located at the
Si-SiO2 interface with energy states in the silicon band gap that can capture
or emit electrons (or holes). These electronic states arise because of the
lattice mismatch at the interface, dangling (incomplete) bonds, the adsorption
of foreign impurity atoms at the silicon surface, and several other defects
produced by bond-breaking processes or radiation processes. These are the
most important type of charges because of their wide-ranging and degrading
effect on device behavior.
Chemical Physical
Deposition Deposition
LPVCD
APCVD Evaporation Spittering
PECVD
UHCVD
immersed in HF, and then the mask is removed, a step nearly equal
to the oxide thickness will be left. This step can be measured using a
scanning electron microscope (SEM) if it is larger than 200 Å, or with
a transmission electron microscope (TEM) if it is not.
2. An easier approach is to use a surface profilometer, an instrument that
measures surface topology by mechanically scanning a needle stylus
while it is in contact with the wafer. The deflection of the needle is
measured, amplified, and displayed as a function of position. Resolution
of these instruments down to 2 Å is claimed by the manufacturers.
Similarly, atomic force microscopy (AFM) can be used to measure
the step. Profilometry has the advantage that it makes no assumptions
other than the relative etch rates of the oxide and the silicon. Since
part of the oxide must be etched to determine the thickness, this test is
destructive and generally requires the use of a dedicated test wafer.
3. The simplest optical technique is to partially immerse the unmasked
wafer in dilute HF until the oxide on the submerged portion of the wafer
is completely removed. Near the line between the etched and unetched
oxide, a slow grading of the thickness will be found. If this edge is
examined under a microscope a variety of colors will be seen starting
from light brown (Table 3.4). These colors are due to interference
between the incident and reflected light. By following the colors up to
the top of the oxide an approximate thickness can be found.
Table 3.4: Color Chart for silicon dioxide (refractive index of 1.48)
Note that multiple orders exist. An SiO2 film that appears red may be 730 to 970 A, 2400
to 2500 A, or 4000 A.
ramped up and down several times to prevent thermal shock to the wafers and
damage later. Utmost cleanliness is essential in wafer handling as well as in
maintenance of the diffusion tube which must be cleaned at intervals. In special
cases the slotted quartz boat can be replaced by one made of polysilicon. For
wafer having small sizes, typically 3” and 4” wafers, horizontal tube furnaces
are utiliaed for oxidation. This furnace is shown in figure 3.15. The furnace has
three zones:
1. Source zone
2. Center zone
3. Load zone.
The gases required for oxidation are introduces through source zone. Generally,
oxygen (for dry oxidation) and steam (for wet oxidation) at the appropriate
partial pressure (concentration) is used as a source gas. In some applications,
chlorinated oxide layers are also grown. The chlorine incorporated in the
oxygen gives various advantages. It reduces charge concentration at the oxide-
Si interface and mobile ions in the oxide layer.
Resistance heater
Horizontal furnace
Source
Cabinet
Furnace
Section
Wall
(Oxidation)
Panel Elevator
Elevator
Tin Bar System
Elevator Boat
Storage
FOUP Load
Station
Cleaning
Station
Vertical
Furnace
Controls
Loading
Station
Foup
3.10 SUMMARY
The two factors that allowed silicon to dominant semiconductor material in
use today are 1) SiO2 is a native oxide that provides a high quality insulating
barrier on the surface of silicon wafer 2) SiO2 can serve as a barrier layer
during subsequent impurity diffusion process steps. The basic growth
mechanism is oxidant transport through the SiO2 layer to the Si/SiO2 interface
where a simple chemical reaction produces the new layers of oxide. This
chapter introduced the topic of the thermal oxidation of silicon, presenting
the Deal–Grove model. This model accurately predicts the oxide thickness
of a wide range of oxidation parameters. Enhanced growth rates are seen for
thin oxides. Effect of impurity on oxide, oxide charges, oxide quality and
oxide thickness measurement techniques are also described as well. As the
understanding of oxide charge is necessary in order to fabricate highly reliable
devices. Oxidation thickness can be accurately measured using ellisometers,
interference microscopes and mechanical surface profiles or can be estimated
from the apparent color of the oxide under vertical illumination with white
light. Finally, typical oxidation systems are described.
PROBLEMS
1. Which one is better between dry oxidation and wet oxidation and why?
2. Why initial oxidation is linear and it becomes parabolic thereafter?
Reference 99
REFERENCE
1. D.A. Buchanan and S.H. Lo, “Growth, in The Physics and Chemistry
of SiO2 and the Si-SiO2 Interface-3,” 3-14 The Electrochemical Society,
Pennington (1996).
2. L.C. Feldman, E.P. Gusev and Garfunkel, “Fundamental Aspects of
Ultrathin Dielectrics on Si-based Devices,” 1-24, Kluwer Academic
Publishers, Dordrecht, (1998).
3. http://www.siliconfareast.com/SiO2Si3N4.htm.
4. Bearbeitet von and Hamid Bentarzi, “The MOS structure, Transport in
Metal-Oxide-Semiconductor Structures,” Engineering Materials, DOI:
10.1007/978-3-642-16304-3_2.
5. M.A. Muhsien, I.R. Agool, A.M. Abaas and K.N. Abdalla, “Current
transport in SiO2 films grown by thermal Oxidation for metal-oxide
semiconductor,” International Research Journal of Engineering Science,
Technology and Innovation (IRJESTI), 1(2):25 (2012).
6. http://www.purdue.edu/rem/rs/sem.htm
7. http://en.wikipedia.org/wiki/Scanning_electron_microscope
8. K. Schroder “Semiconductor Material and Device Characterization”,
Third Edition, Dieter, Arizona State University Tempe, AZ, IEEE press,
John Wiley & Sons, (2006).
9. Lee Stauffer, “Fundamentals of Semiconductor C-V Measurements,”
Keithley Instruments, Inc.
10. H.U. Kim and S.W. Rhee, “Electrical Properties of Bulk Silicon Dioxide and
SiO2 / Si Interface Formed by Tetraethylorthosilicate-Ozone Chemical Vapor
Deposition,” Journal of The Electrochemical Society, 147 (4):1473 (2000).
11. http://web1.caryacademy.org/facultywebs/gray_rushin/StudentProjects/
CompoundWebSites/2003/silicondioxide
100 Chapter 3 Oxidation
4.1 INTRODUCTION
Patterning the functional material is crucial for all technologies from ancient
era to present DNA microarrays world. Advantages of pattering such as
expansion to shrinking capability, higher speed due to reusable capability,
precision in reproducibility and lower energy consumption per computing
function makes it crucible for complex geometry of ICs. Continuous
miniaturization of devices takes IC into nanometer level so producing the
same design in several places uses pattering process. Patterning on the wafer
in most ICs utiliaes two steps: a) patterning of a resist film on top of the
functional material, process is known as lithography and b) transferring the
resist pattern into the functional material, by the process called as etching.
Lithography is the most complicated, expensive, and critical process in
mainstream microelectronic fabrication. The term lithography curtails from
the art world made by impressing, in turn, several flat reproduced slabs, each
covered with ink of some colour, on a paper or canvas. The various layers
must be accurately aligned to each other within some registration tolerance.
Thus, many prototypes can be made from the same set of slabs, as long as
the quality remains high enough. This is the basic principle in IC lithography.
The process allows mass production of components that are almost identical
to within required accuracy. The circuit pattern is directly written on or
projected to the wafer or resist with the aid of a mask. This mask can be used
multiple times to produce near identical components.
Lithography accounts for about one-third of the total fabrication cost, a
percentage that is rising. A typical silicon technology will involve 15–20
different masks. In IC technology, Lithography is the process of transferring
104 Chapter 4 Lithography
VLSI design
Pattern Generator
Exposing
radiation
Chromium
Direct write
Mask
Resist
Light Ion Electron Electron Ion X-ray Light Multilayer of
device substrte
Develop
Wafer resist
(a) (b)
Light Source
(Hg ARC Lamp)
Lens
Mask
Photoresist
Substrate
GAP
(a) (b)
a condenser, a mirror, a shutter, a filter here known as mask and the stage
on to which the resist is positioned as illustrated in figure 4.3. In proximity
lithography, the mask-resist separation is usually around 5 to 50 μm that leads
to an acceptable resolution for today’s devices of around 200 nm. However,
diffraction occurs between the mask and the resist that affects resolution.
Narrowing of the mask- resist separation required for better resolution however
a much better resolution would be achieved if the resist and the mask were in
contact.
Contact printing suffers from major drawback caused by dust particles or
silicon specks accidentally embedded into the mask so causing permanent
damage to the mask while proximity printing is not affected by particle
damage. However, the narrow gap between the mask and wafer introduces
optical diffraction at the feature edges on the photo masks that degraded and
the resolution typically to the 1- 3 μm regime.
Hg-ARC Lamp
Ellipsoidal Reflector
Aperture Plate
Shutter
Turning Mirror
Cold Mirror
Optical Integrator
Exposure Beam
Collimation Lens
Light Source
Effective
Conventional Quadle Pole Source
Annular
Phase Shifting
Consenser
Mask Lens
Phase 0 p 0 p 0
Alternate Attenuated
Mask
Pupil Shifting
Pupil
Function
Projection lens
Phase Distribution
Multiple Exposure Aperture (Pupil)
Multiple Mask
Flex
Wafer
Others
Surfacing imaging Wafer Stage
thin resist film
(a) (b)
Fig. 4.5 Airy’s Rings resulting from diffraction of a parallel beam of light
passing through a pair of mask.
110 Chapter 4 Lithography
Now, consider the distance between two of these spots must be in order to
be distinguishable. The two images are distinguishable when the maximum
intensity of one set of Airy,s Rings overlaps with the first minima of the second
set of Airy,s Rings as shown in figure 4.6.
D1/2
Intensity
D1 Distance
Fig. 4.6 The intensity of Airy's Rings from two adjacent masks. The combined profile
is presented as a dotted line. This figure shows the Rayleigh resolution limit, equal to
a distance of D1/2 on the resist
Figure 4.6 show that a distance of D1/2 must separate the peaks of intensity
in order to determine the two points. The apertures subtend a semi-angle a at
the resist surface. Now we can derive an equation for the minimum resolvable
separation (D1/2) by manipulating the basic properties of the system, for that
we consider light diffracting from a single circular aperture, as shown in
figure 4.7
a D1/2
X
Fig. 4.7 Diffraction from a single circular mask having diameter a. The intensity
maximum (P) and the first minimum (P') are separated by D1/2 on a resist at a
distance X from the mask
The width of the mask can be eliminated from equation 4.3 by considering the
angle it subtends from the resist, as shown in figure 4.8
a
a
Fig. 4.8 The mask subtends a semi-angle a at the resist. It is used to replace the
width of the mask with it’s semi-angle in a mathematical model for resolution.
From figure 4.8, an equation for the width of the mask in terms of its distance
from the resist and its semi-angle can be derived as
a
sin a = ... (4.4)
2f
by replacing a in equation 4.3, to give us an equation for minimum resolvable
separation in terms of the focal length of the lens and the semi-angle of the
aperture
D1 0.61y
= f sin a ... (4.5)
2
Noted thing at this point is that the focal length has refractive index dependence.
If wrap up the other constant features of the focal length with the 0.61 factor,
the equation can be re-written as
k1y
R = m sin a ... (4.6)
Now consider the depth of focus of the process. The image formed in an
optical system is only brought to focus in the appropriate plane i.e. a sphere.
The depth of field is the distance over which the image retains an acceptable
focus, as shown in figure 4.9.
112 Chapter 4 Lithography
a Aperture
D1
a
Plane of Optimum Focus
h
Fig. 4.9 The depth of focus of an optical system, represented here as h, is the
distance either side of the plane of optimum focus over which the image retains an
acceptable focus.
4.6 MASKS
IC fabrication is done by mass batch processing, where many copies of the
same circuit are deposited on a single wafer and many wafers at the same time.
The number of wafers processed at one time is called the Lot whose size may
vary between 20 to 200 wafers. Since each IC chip is square and the wafer is
circular, the number of chips per wafer is the number of complete squares of a
given siae that can fit inside a circle.
The photographic mask controls the location of all windows in the oxide layer
and so areas over which a particular diffusion step is effective. Each complete
4.6 Masks 113
Step Out
Repeat 10 ×
Reduction
25 ×
Photographic
Reduction
Reduction
Plate, 10 × Full Mask For One
Master Drawing For Size Specific Diffusion
An Individual IC
Producing one of a series of photographic masks required for
the manufacture of an array of ICs. Figures are not a scales.
Figure 4.11 illustrate the mask-limited yield for a 10-level lithographic process
as a function of chip size for various values of defect densities.
Chip Edge (mm)
2 3 4 5 6 7 8 9 10
100
80
0.1 Defect/cm2
60
40
0.25 Defect/cm2
20
Yield (%)
10 0.5 Defect/cm2
1.0 Defect/cm2
2
1
0 20 40 60 80 100
Chip Size (mm2)
canceled at the wafer. Subsequently, images that are projected close to each
other can be separated completely. A 180o phase change produces when a
transparent layer of thickness d = y / 2 (n - 1), where n is the refraction index
and y is the wavelength, covers one mask as shown in figure 4.12(b).
Normal Mask Phase Shift Mask
PR PR
Substrate Substrate
Final Pattern Final Pattern
PR PR
Substrate Substrate
Designed Pattern Designed Pattern
(a) (b)
4.9 PHOTORESIST
To get an authentic recording of the geometry of mask over the substrate
surface the resist should fulfill following conditions:
. Uniform film formation
• Resolution
• Good adhesion to the substrate
• Proper resistance to dry and wet etch processes
A photoresist is a radiation-sensitive compound that forms a Polymer film in
radiation. The film is photosensitive or capable or reacting with the photolysis
product of added compound so that the solubility in developer solution
increases or decreases significantly by exposure to UV radiation. According
to the solubility changes that take place, photoresists are termed negative or
positive. Materials which are solidified, less soluble in a developer solution
by radiance produce a negative pattern of the mask and are called negative
photoresists. Wherein positive resists, the exposed region becomes more
4.9 Photoresist 117
Photomask
100 100
Resist Remaining (%)
AZ Kodak
1350 J 747
50 50
E1 ET ET E1
0 0
10 100 5 10 20
Exposure Energy (mJ/cm2) Exposure Energy (mJ/cm2)
hv hv
+ – –
Fig. 4.14 Positive photoresist (left) and negative photoresist (right): Exposure
response curve and cross section of the resist image after development
The left portion of figure 4.14 shows the exposure response curve for a
positive resist. It should be noted that even prior to exposure the resist has
4.10 Pattern Transfer 119
The major steps required for pattern transfer in the photolithography process
are shown in figure 4.15. In the following, we take a look at these major steps
along with some minor enhancement steps.
Photoresist coating
The wafer is coated with a liquid photo resist by a spin coating method,
as shown in figure 4.15(b). The spin speed and the viscosity of photoresist
material determine the final photoresist thickness, ranging from 0.6 to 1 mm.
Softbake
Before going to the alignment and exposure step, a softbake process is required
to drive off most of the solvent in the photoresist material. The softbake process
is to place the wafer on a hot plate at a temperature of 90 to 100°C for about
30 seconds.
Post-exposure bake
The post-exposure bake is intended to minimize striations of overexposed
and underexposed areas through the photoresist caused by the standing-wave
effect that might be occurring from the interference between the incident light
and the light reflected from the photoresist-substrate interface. In modern
processes, a thin Anti Reflective Coating (ARC) layer is often used to help
reduce the amount of reflective light.
Development
Development is the critical step for creating the pattern in photo resist on
the wafer surface. In this step, the soluble regions are removed by developer
chemicals, as shown in figure 4.15 (d). After development, the following two
steps are often carried out.
4.10 Pattern Transfer 121
Hardbake
After development, the wafer needs to be baked again on a hot plate at a
temperature of 100 to 130.C for about 1 to 2 minutes to drive out the remaining
solvent in the photoresist material. This step improves not only the strength and
adhesion but also the, etch and ion-implantation resistance of the photoresist.
Photoresist Stripping
The remaining part of the pattern transfer of the running example is completed
by etching away the silicon dioxide exposed and then removing the photoresist.
Succeeding oxide etching and with the help of abrasion process, the remaining
resist is finally stripped off with a mixture of H2SO4 and H2O2. Finally a step
of washing and drying completes the required window in the oxide layer. The
figure below shows the silicon wafer ready for next diffusion. The illustrations
of these two steps are depicted in figures 4.15 (e) and (f), respectively.
Pattern inspection
The closing step of the pattern transfer process is pattern inspection, which
checks whether the sketch on the mask correctly transported onto the
photoresist. The photoresist has to stripped and the whole process is repeated
again if the wafer fails in inspection test.
SiO2
p-epi p-epi
Photoresist
p-epi p-epi
(b) p+-substrate (e) p+-substrate
UV light
SiO2
Exposed photoresist p-epi p-epi
p+-substrate (f) p+-substrate
(c)
The insulator image can be engaged as a mask for subsequent processing. For
example, ion implantation can be executed to dope the exposed regions
122 Chapter 4 Lithography
selectively. Figure 4.16 illustrates the liftoff technique which serve if the film
thickness is smaller than that of the photoresist.
hv
Resist
Mask
Resist
Substrate Substrate
(a) (b)
Deposit
Substrate Substrate
(c) (d)
between the source and the resist. Electron beam lithography process as shown
in figure 4.17.
Electron Gum
Anode
Aperture
Lens
Blanking Plate
Aperture
Lens
Objective Aperture
Stigmator
Objective Lens
Deflectors
Image Plane
20 keV E-Beam
0
PMMA
1
Depth (mm)
2
3 Si
4
–3 –2 –1 0 1 2 3
(a)
Dose
Forward
Scattering
Back Scattering
–3 –2 –1 0 1 2 3
x(mm)
(b)
Fig. 4.18 (a) Simulated trajectories of 100 electrons in PMMA for 20 keV electron
beams (b) Dose distribution for forward scattering and back scattering at the resist-
substrate interface.
Positive Resist
Chain Scission
Negative Resist
Cross-Link
Resists
There is a formation of bonds between polymer chains when negative resistance
is exposed to electron beam and bond breaking occurs in positive resist when
it is exposed. The electron-beam induced cross-links between molecules of
negative resist make the polymer less soluble in the developer solution. Resist
sensitivity increases with increase in molecular weight. In positive resistance
the bond breaking process predominates thus exposure leads to lower molecular
weight and greater solubility. The polymer molecules in the unexposed resist
will have a distribution of length or molecular weight and thus a distribution
of sensitivities to radiation. The narrower the distribution, the higher will be
the contrast. High molecular weight and narrow distribution are advantageous.
There is also a fundamental process limitation on resolution, when electrons
are incident on a resist or other material, they inter the material and lose energy
by scattering, and produces secondary electrons and X-rays. This limits the
resolution to an extent that depends on resist thickness, beam energy, and
substrate composition. Resolution is better for thinner layer of resist. while
use of device processing minimum thickness is set in order to keep the defect
density low and resistance of etching. For photo masks where the surface is
flat and only a thin layer of chrome must be etched with a liquid etchant, resist
thickness in the range of 0.2 to 0.4 mm are used. In case of more severe dry
gas plasma etching process employed, thickness of 0.5 to 2 mm are required.
One way to overcome this problem is to use a multilayer resist structure in
which the thick bottom layer consists of the process-resistant polymer. A
three-layer resist structure can be used in which the uppermost layer is for
patterning a thin intermediate layer, such as SiO2 which serves as a mask for
etching the thick polymer below. For electron lithography a conducting layer
can be substituted for the SiO2 layer to prevent charge build-up that can lead to
beam placement errors. Multilayer resist structure also improves the problem
of proximity effect encountered during electron beam exposure. An exposed
pattern element adjacent to another element receives exposure not only from
the incident electron beam but also from scattered electrons from the adjacent
elements. A two-layer resist structure is also used in such structure, both the
thin upper and the thick lower layer are positive electron resist, but they are
developed in different solvents. The thick layer can be overdeveloped to
provide the undercut profile that is ideal for liftoff process.
Electron Optics
The first extensive use of electron-beam pattern generators in photo mask
making is discussed in previous section. The electron-beam exposure system
(EBES) machine has proved to be the best photo mask pattern generator.
4.12 Ion Beam Lithography 127
produce ions. There are two types of Ion Projection lithography (IPL) system:
focused beam system and mask-beam system.
The material used in Ion Projection Lithography is Hydrogen or Helium gas.
The H+, H2+, H3+ or He ions are extracted from a source at around 10 keV, and
sent through a set of initial lenses then the ions are passed through a patterned
stencil (a silicon membrane), and entered into a multi-electrode electrostatic
lens system which projects a magnified image of the mask on to the resist.
Whilst passing through the electrostatic lens system, the ions are accelerated
up to 200 keV to ensure absorption in the resist. This technique along with
several others is in the very early stages of development. IPL could sooner or
later yield a resolution of the order of a nanometer with present resolutions
around 5 nm.
Ion-beam lithography provides higher resolution when exposed to resist
than that possible with an electron-beam because of less scattering. Resists
are more sensitive to ions than to electrons a unique feature of ion-beam is
that there is the possibility of wafer processing without resists if it is used
to implant or sputter selected areas of the wafer. Repair of photo mask is the
most important application of Ion beam lithography. Ion-lithography employs
a scanning focused-beam or a masked-beam.
Distance (mm)
–0.2 0 0.2 –0.2 0 0.2 –0.2 0 0.2
0.00
0.20
Depth (mm)
PMMA PMMA
0.40
Au Si
0.60
PMMA
0.80
aperture and small scan fields. Figure 4.20 is depicting the computer trajectory
of 50 H+ ions implanted at 60 keV illustrating the spread of the ion beam at
a depth of 0.4 μm are only 0.1 μm. There is also the possibility of resistless
wafer process however, an ion beam is usually larger than an electron beam
and the resolution is thus adversely affected. Repair of masks for optical or
X-ray lithography is the most important application of ion lithography and is
also used for commercial process.
EUV faces problems with the resists therefore resists which strongly absorb
EUV radiation need to be developed before the technique so that it can be fully
utilized. Resists which are in development consist of fewer layers (to enable
miniaturization), which also strongly absorb EUV radiation. As the features
printed on the resist have become smaller, the rough edges of the printed
lines become a problem. The problem stems from diffraction, as well as using
normal transverse waves to try and print straight lines. This is a problem for
all lithographic techniques, but a successful EUV resist would be required to
solve this problem in the case of EUV.
Alignment Latent
Marks image
Substrate
Fig. 4.21 Typical mask structure in X-ray proximity lithography contrasted with optical
lithography (left hand side of figure). Before the X-rays reach the mask, they are
collimated by a silicon carbide mirror and passed through a Beryllium glass window.
We note that the patterns on the X-ray lithography masks, as well as the optical
lithography masks, are produced by electron beam lithography
X-Ray Resist
An electron resist can also be referred to as an X-ray resist because an
X-ray resist is exposed largely by the photoelectrons produced during X-ray
absorption. The energies of these photoelectrons are much smaller than the
10 keV to 50 keV energies which are used in electron lithography making
proximity effects negligible in the case of X-ray and promising higher ultimate
resolution.
Most of the polymer resists containing only H, C, and O, absorb very small
X-ray flux. This small absorption has the benefit of providing uniform exposure
throughout the resist thickness and the disadvantage of reduced sensitivity.
Electron beam resists can be used in X-ray lithography because when an
X-ray photon impinges on the specimen, electron emission results. One of the
most attractive X-ray resist is DCOPA (dichloropropyl acrylate and glyciedyl
methacrylate-co-ethyl acrylate), as it has a relatively low threshold (~ 10 mj
cm2).
Proximity Printing
Since the wavelength of X-ray is small diffraction effects can be ignored and
simple geometrical considerations can be used in relating the image to the
pattern on the mask. The opaque parts of the mask cast shadows on to the
wafer below. The edge of the shadow is not absolutely sharp because of the
finite diameter of the focal spot of the electrons on the anode X-ray source
at a finite distance from the mask. However, on account of the finite siae of
the X-ray source and the finite mask-to-wafer gap, a penumbral effect results
which degrades the resolution at the edge of a feature as shown in figure 4.22,
the penumbral blur, d, on the edge of the resist image is given by d = ag / L
Where a is the diameter of the X-ray source, g is the gap spacing, and L is the
distance from the source to the X-ray mask If a = 3 mm, g = 40 μm, and L =
50 cm, d is on the order of 0.2 mm.
An additional geometric effect is the lateral magnification error due to the
finite mask-to-wafer gap and the non-vertical incidence of the X-ray beam.
The projected images of the mask are shifted laterally by an amount d, called
run out d = rg / L
Where r denotes the radial distance from the center of the wafer or a
125 mm wafer, the run out error can be as large as 5 μm for g = 40 μm and
L = 50 cm. This run out error must be compensated for during the mask
making process.
132 Chapter 4 Lithography
a
X-Ray Source
L
Pyrex Ring
Si
BN
X-Ray Mask Polyimide
Au
g
X-Ray Resist
r d Si Wafer
s
X-Ray Sources
In earlier years of development X-ray sources were often an electron beam
evaporator with its chamber modified to accept a mask and wafer. The target
metal could be changed easily to modify the X-ray spectrum. X-ray generation
by electron bombardment is a very inefficient process most of the input power
is converted into heat in the target. The X-ray flux is generally limited by
the heat dissipation in the target. Much high X-ray fluxes are available from
generators which have high speed targets. Another type of source, which
provides still greater amount of flux, is the plasma discharge source in which
the plasma is heated to a temperature high enough to produce X-radiation. The
plasma chamber has problems such as reliability and contaminations.
X-Ray Masks
The mask for X-ray lithography consists of an absorber on a Tran’s missive
membrane substrate. The absorber is usually gold which a heavy metal and
also it can be easily patterned. The transmissive membrane substrate is a
polymer such as polymide and polyethylene terephthalate.
4.16 SUMMARY
The continuous growth of IC Industry is a direct result of the capability to
transfer smaller and smaller circuit patterns onto semiconductor wafers.
This chapter is concentrated on the production of the areal image, the optical
intensity as a function of position on the surface of the wafer for the small
features of interest in integrated circuit production, diffraction effects are
extremely important. Simple contact printers can be used for pattern structures
to less than 1μm, but these systems are highly defecting prone. To avoid this
problem the mask can be floated above the wafer in a process known as
proximity printing, but at a cost of degraded resolution. Projection lithography
systems capable of submicron resolution were introduced. To achieve
increased resolution in either type of optical system, it is desirable to use
shorter wavelengths of exposing radiation. Although mercury arc lamps have
historically been the most widely used source, excimer lasers are dominant
in current-generation and advanced lithography tools. Finally, methods to
increase resolution through mask making were introduced, primarily the use
of phase-shifted masks and optical proximity correction.
The chapter began by the observation that lithography plays a critical role in
determining the performance of a technology. As such, lithography has long
134 Chapter 4 Lithography
REFERENCES
1. G. Stevens, “Microphotography,” Wiley, New York, (1967).
2. M. Bowden, L. Thompson, and C. Wilson, “Introduction to
Microlithography,” American Chemical Society, Washington, DC, (1983).
3. D. Elliott, “Integrated Circuit Fabrication Technology,” McGraw-Hill,
New York, (1982).
4. W.M. Moreau, “Semiconductor Lithography, Principles, Practices, and
Materials,” Plenum, New York, (1988).
5. S. Nanogaki, T. Heno, and T. Ho, Microlithography Fundamentals in
Semiconductor Devices and Fabrication Technology, Dekker, New York
(1988).
References 135
5.1 INTRODUCTION
Thin-film removal, also known as thin-film etch or just etch for short, is
the process of selectively removing the unneeded (unprotected) material
by a chemical (wet) or physical (dry) means. After a photoresist image has
been fabricated on the surface of a wafer, the next process often involves
transferring that image into a layer under the resist by etching. The chapter
will begin with simple wet chemical etching processes where wafer is
immersed in a solution that reacts with the exposed film to form soluble
by-products. Ideally, the photoresist mask is highly resistant to attack by
the etching solution. Although still used for noncritical processes, wet
chemical etching is difficult to control, is prone to high defect levels due to
solution particulate contamination, cannot be used for small features, and
produces large volumes of chemical waste. The chapter will therefore go on
to discuss dry or plasma etch processes. It is useful to begin the discussion
of some important parameters related to the etch process by identifying the
appropriate figures of merit.
Etch Rate
Etch rate is a measure of the thickness removed per unit of time. It is usually
a strong function of solution concentration and etching temperature. High
etch rate is generally favorable due to a higher throughput which is generally
140 Chapter 5 Etching
Thin film
Substrate Substrate
(a) (b)
Fig. 5.1 (a) Isotropic (b) Anisotropic
Selectivity
Selectivity means how much faster one material is etched than another under
the same condition. Selectivity (S) is defined as etch rate ratio of one material
to another and is given by
R
S = 1 ... (5.1)
R2
where R1 is etch rate of the material intended to be removed and R2 is the etch
rate of the material not intended to be removed. A particular process may be
quoted as having a selectivity of 20 to 1 for polysilicon over oxide means that
polysilicon etches 20 times faster than oxide.
Uniformity
Uniformity is a measure of the capability of the etching process to etch evenly
across the entire wafer surface. For IC production lines, high uniform each
rates are important. Each rate uniformity is given by
(Maximun etch rate-Minimum etch rate)
Each rate uniformity (%) = × 100
(Maximum etch rate+Minimum etch rate)
5.3 Wet Etching Process 141
Degree of Anisotropy
Degree of anisotropy Af is a measure of how rapidly an etchant removes
material in different directions and can be given by
R
Af = 1 – l ... (5.2)
Rv
where Rl is the lateral etch rate whereas Rv is the vertical etch rate. For isotropic
etch, Rl is equal to Rv and therefore Af = 0; for anisotropic etch, Rl is equal to
0 and therefore Af = 1.
in some manner to assist in the movement of etchant to the surface and the
removal of the etch product. Some wet etch processes use a continuous acid
spray to ensure a fresh supply of etchant, but this comes at the cost of the
production of significant amounts of chemical waste. Wet etching can also
have serious drawbacks such as a lack of anisotropy, poor process control, and
excessive particle contamination.
Liquid etchants
Diffusion towards
Diffusion away from
surface
surface
Adsorption by surface Desorption of products
Material to be etched
For most wet etch processes, the film to be etched is not directly soluble in the
etchant solution. It is usually necessary to use a chemical reaction to change
the material to be etched from a solid to a liquid or a gas. If the etching process
produces a gas, this gas can form bubbles that can prevent the movement of
fresh etchant to the surface. This is an extremely serious problem, since the
occurrence of the bubbles cannot be predicted. The problem is most pronounced
near pattern edges. In addition to assisting the movement of fresh etchant
chemicals to the surface of the wafer agitation in the wet chemical bath will
reduce the ability of the bubbles to adhere to the wafer. Even in the absence of
bubbles, however, small geometry features may etch more slowly, due to the
difficulty in removing all of the etch products. This phenomenon has been shown
to be related to microscopic bubbles of trapped gas. Another common problem
for wet etch processes is undetected resist scumming. This occurs when some
of the exposed photoresist is not removed in the develop process. Common
causes are incorrect or incomplete exposures and insufficient developing of the
pattern. Due to the high selectivity of wet etch processes, even a very thin layer
of resist residue is sufficient to completely block the wet etch process.
In the 1990s, wet etching enjoyed something of resurgence. Automated wet
etch benches were developed that allow the operator to precisely control the
etch time, bath temperature, degree of agitation, bath composition, and the
degree of misting in spray etches. Increased use of filtration, even in hot,
5.4 Silicon Etching 143
HF (49.23%) HF (49.23%)
10 10
%
90 90
HF
HF
HN
HN
80 20 80 20
O3
O3
%
%
70 30
Etch rate (mm/ 70 30
60 40
minute) 60 40
50 50 482 50 50
165
40 60 40 60
75
30 70 56 30 70
20 80 43 38 20 Polishing 80
10 90 25 16.5 10 90
7.6
90 80 70 60 50 40 30 20 10 90 80 70 60 50 40 30 20 10
HC2H3O2 HNO3(69.51%) HC2H3O2 HNO3(69.51%)
(A) (B)
Mask
54.7° Mask
Silicon Silicon
h
<100>
<110>
d
(a) (b)
(c) (d)
Silicon Nitride
Silicon nitride is etched very slowly by HF solutions at room temperature. A
20:1 BHF solution at room temperature, for example, etches thermal oxide
at about 300 Å/min, but the etch rate for Si3N4 is less than 10 Å/min. More
practical etch rates of Si3N4 can be obtained in H3PO4 at 140 to 200°C. A 3:10
mixture of 49% HF (in H2O) and 70% HNO3 at 70°C can also be used, but is
much less common. Typical selectivities in the phosphoric etch are 10:1 for
nitride over oxide and 3:1 for nitride over silicon. If the nitride layer is exposed
to a high temperature oxidizing ambient, therefore, a dip in BHF is often done
before the nitride wet etch to strip any surface oxide that may have grown
on top of the nitride. Better patterning can be achieved by depositing a thin
oxide layer on top of the nitride film before resist coating. The resist pattern is
transferred to the oxide layer, which then acts as a mask for subsequent nitride
etching.
SiO2
28 ml HF
170 ml H2O
113 g NH4F
} Buffered HF (BHF) 100 nm/min
15 ml HF
10 ml HNO3
300 ml H2O
} P – Etch 12 nm/min
5.7 Dry Etching Process 147
surface material, causing material to be ejected off the wafer surface. A plasma
is produced when an electric field is applied across two electrodes between
which a gas is confined at low pressure, causing the gas to break down and
become ionized simple DC (direct current) power can be used to generate
plasma, but insulating materials require AC (alternate current) power to reduce
charging. In plasma etching, an RF (radio frequency) field is usually used
to generate the gas discharge. One reason for doing so is that the electrodes
do not have to be made of a conducting material. The other reason is that
electrons can pick up sufficient energy during field oscillation to cause more
ionization by electron – neutral atom collisions. As a result, the plasma can be
generated at pressures lower than 10–3 torr. A conceptual view of the plasma
etching system is shown in figure 5.5.
RF generation
Anode
Gas out
pump
Gas inlet Plasma
(Ar, CF4, O2)
Wafers
Cathode
Heater
The interaction of plasmas with surfaces is often divided into two components
physical and chemical. A physical interaction refers to the surface bombardment
of energetic ions accelerated across the plasma sheath. Here the loss of kinetic
energy by the impinging ions causes ejection of particles from the sample
surface. Conversely, chemical reactions are standard electronic bonding
processes that result in the formation or dissociation of chemical species on
the surface.
Electrode
RF
power
Fig. 5.6 Schematic view of microscopic processes that takes place during
plasma etching of Silicon wafer
sputter etching process, and reactive ion etch (RIE) process. All of these are
the most important dry etching processes used in the IC industry nowadays.
Fig. 5.7 Process of a reactive ion interacting with the silicon surface. Interaction
bonding then chemically removal
selectivity, for the ion bombardment process etches everything on the surface,
albeit the difference in sputtering rates for different materials.
Plasma
Silicon atom Plasma
Silicon atom
Mask Mask
Silicon Silicon
Mask Mask
Silicon Silicon
Fig. 5.10 RIE process which involves both physical and chemical
reaction to etch of silicon
RIE uses chemically reactive plasma generated under a low pressure (10-
100 m.torr) to consume the materials deposited on wafers, along with ionic
bombardment which can open areas for chemical reactions. In the RIE systems,
the chuck holding wafer is grounded and another electrode is connected to
the radio frequency power of frequency 13.56 MHz since electrons are more
mobile compared to positive ions due to their lighter weight, they travel
longer and collide more frequently with the electrodes and chamber walls and
consequently be removed from the plasma. This process leaves the plasma
5.9 Inductive coupled Plasma Etching (ICP) 153
Anode
Plasma
Positive Ions
Mask
Etch Rate
200 With No Ion
Bombaroment
(Small)
Ion Assisted
Etch Rate
(Large)
Etch Rate (A/min)
150
100
Vertical Etch Rate (XeF2 + Ion
Bombardment)
50
Lateral Etch Rate (XeF2
Only, No Ion Bombardment)
0 10 20 30 40
0.8
Af
0.6
0.4
0 10 20 30 40
15
XeF2 Flow Rate (10 Molecules/s)
Fig. 5.12 Silicon etching rate vs XeF2 flow rate with and with 1-KeV Ne+
bombardment
When a gas is mixed with one or more additive gases, both the etch rate and
selectivity can be altered. As illustrated in figure 5.13, the etching rate of
SiO2 is approximately constant for addition of up to 40% H2, while the etch
rate for Si drops monotonically and is almost aero at 40% H2. Also shown is
the selectivity, that is, the ratio of the etch rate SiO2 to that of Si, Selectivity
exceeding 45:1 can be achieved with CF4-H2 reactive ion etching. This process
is thus useful when etching a SiO2 layer that covers a poly-Si gate.
156 Chapter 5 Etching
600 60
Pressure-25 mtorr
Flow Rate-40 SCCM
500 50
SiO2
300 30
Polysilicon
200 20
100 10
SiO2: Polysilicon
0 0
0 10 20 30 40 50
% Hydrogen (CF4–H2 Mixture)
Fig. 5.13 Etching rate of Si and SiO2 and the corresponding selectivity as a
function Of mixture of H2 and CF4.
The opposite effect can be observed by varying the gas composition of sulfur
hexafluoride (SF6) and chlorine, as exhibited in figure 5.14. The etching rate of
silicon can be adjusted to be 10 to 80 times faster than that of SiO2. Examples
of some common etchants exhibiting selectivity effects are exhibited in
Table 5.3.
5000 100:1
4000 80:1
Etch Rate of Polysilicon (A/min)
3000 60:1
2000 40:1
1000 20:1
5.12 LIFTOFF
Most GaAs technologies were developed around liftoff rather than etching.
The process is still popular for patterning difficult to etch materials. The
sequence for liftoff is shown in figure 5.15. A thick layer of resist is spun
and patterned. Next, a thin layer of metal is deposited using evaporation
as discussed in metallization chapter (Chapter 8). One characteristic of
evaporation is its difficulty in covering high aspect ratio features. If a reentrant
profile is obtained in the resist, a break in the metal is virtually assured. Next,
the wafer is immersed in a solution capable of dissolving the photoresist. The
metal lines that were deposited directly on the semiconductor remain, while
the metal deposited on the resist lifts off of the wafer as the resist dissolves.
Etch damage to the substrate is avoided and the lines patterned with infinite
selectivity with no undercut. Since the process in its simplest form requires
only a wet bench and perhaps ultrasonic agitation, it is widely used in research
laboratories.
Chlorobenzene Modification Process Liftoff process
Modified resist
Chloro
benzene UV Evaporated
soak exposure metal
Resist Liftoff Profile Metallized
pattern
Developer Liftoff
Exposed region
Substrate UV
exposure Chloro
benzene
soak
Methods that have been used to form a reentrant resist profile generally harden
the surface of the resist. This can be done to some extent by promoting cross-
linking by deep UV exposure, in a suitable plasma environment, or by ion
implantation. Another solution is the use of multiple layers of resist such as a
DQN resist on PMMA. After PMMA coating, the upper layer of resist is spun,
158 Chapter 5 Etching
No Soaking Bromobenzene
Chlorobenzene Toluene
Fluorobenzene Kerosene
Liftoff processes have several shortcomings. The first is that the surface
topology must be very smooth, since the metal deposition step is designed
to have poor step coverage. Therefore, either the technology must be limited
to one layer of metallization, or each layer must be plenaries before liftoff
patterning .This effectively prevents the use of sputtering. The other serious
problem is that the metal lifted off remains solid and floats in the bath. Pieces
5.13 Summary 159
of it are very likely to redeposit on the surface of the wafer. Unless the patterns
are very simple, liftoff has serious yield impacts.
5.13 SUMMARY
Etching is a process to transfer patterns in IC fabrication. Thin film removal,
also known as thin-film etch as just etch for short, is the process of selectively
removing the unneeded (unprotected) material by a chemical (wet) or
physical (dry) means selectivity & anisotrophy are two most importants
issues. Wet chemical etching was firstly used suspensively in semiconductors
processing. The wet chemical etching process for insulators, silicon and metal
intercommunication are discussed in this chapter. Whereas to achieve high
fidelity pattern transfer dry etching method is more preferable. Dry etching is
used almost exclusively today because of the control, flexibility reproducibility
and anisotropic behaviour. This etching is synonym with plasma assisted
etching. Various dry etching system and plasma fundamentals are discussed
in this chapter. The challenges for future etching technologies are low aspect
ratio depended etching, better dimensional control, high etch selectivity and
low plasma induced damage. To meet these requirements high density, low
pressure plasma reactors are needed.
PROBLEMS
1. What are the major distinctions between reactive ion etching and
parallel plate plasma etching?
2. Compare at the advantages and limitation of dry and wet etching
techniques.
3. Explain various types of etching process used in integrated circuit
manufacturing process.
4. Explain why endpoint detection by monitoring of reactant species
requires a lading effect.
5. A cylindrical parallel-plate RF etch chamber is constructed with 14"
diameter electrodes. The chamber diameter is 16" and the height of
the chamber is 6". One of the electrodes is attached to the ground. The
DC voltage between the electrodes is measured to be 25 V when a
plasma is established. Assuming that the plasma is in contact with the
160 Chapter 5 Etching
REFERENCES
1. W.A. Kern and C.A. Deckert, “Chemical Etching,” Thin Film Processing,
Academic press, New York, (1978).
2. K. McAndrews and P.C. Subanek, “Nonuniform Wet Etching of Silicon
Dioxide,” J. Electrochem. Soc. 138: 863 (1991).
3. P. Burggraaf, “Wet Etching: Alive, Well, and Futuristic,” Semicond. Int.
13(9):58 (1990).
4. W. Kern, H.G. Hughes and M.J. Rand, “Chemical Etching of Dielectrics,”
Etching for Pattern Definition, Electrochemical Society, Pennington, NJ,
(1976).
5. S.M. Hu and D.R. Kerf, “Observation of Etching of n-Type Silicon in
Aqueous HF Solutions,” J. Electrochem. Soc. 114:414 (1967).
6. J.S. Judge, H.G. Hughes and M.J. Rand, "Etching for Pattern Definition,"
Electrochemical Society, Princeton, NJ, (1976).
7. L.M. Loewenstein and C.M. Tipton, “Chemical Etching of Thermally
Oxidized Silicon Nitride: Comparison of Wet and Dry Etching Methods,”
J. Electrochem. Soc. 138:1389 (1991).
8. J.T. Milek, “Silicon Nitride for Microelectronic Applications, Part 1—
Preparation and Properties,” IFI/Plenum, New York, (1971).
9. B. Schwartz and H. Robbins, “Chemical Etching of Silicon: Etching
Technology,” J. Electrochem. Soc. 123:1903 (1976).
10. Kern and Deckert “A comprehensive listing of etching solutions for
groups III-V”, Eds Academic Press, Enlands (1978).
11. R.E. Williams, “Gallium Arsenide Processing Techniques,” Artech,
Dedham, MA, (1984).
References 161
55. A.J. van Roosmalen, J.A.G. Baggerman, and S.J.H. Brader, “Dry Etching
for VLSI,” Plenum, New York, (1991).
56. J.W. Coburn and H.F. Winters, “Ion and Electron Assisted Gas Surface
Chemistry,” J. Appl. Phys. 50(5): 3189 to 3196 (1979).
57. V.M. Donelly, D.I. Flamm, W.C. Dautremont-Smith, and D.J. Werder,
“Anisotropic Etching of SiO2 in Low-Frequency CF4/O2 and NF3/Ar
Plasmas,” J. Appl. Phys. 55:242 (1984).
58. G. Smolinsky and D.L. Flamm, “The Plasma Oxidation of CF4 in a
Tubular, Alumina, Fast-Flow Reactor,” J. Appl. Phys. 50:4982 (1979).
59. C.J. Mogab, A.C. Adams, and D.L. Flamm, “Plasma Etching of Si and
SiO2—The Effect of Oxygen Additions to CF4 Plasmas,” J. Appl. Phys.
49:3796 (1978).
60. J.W. Coburn, “In-situ Auger Spectroscopy of Si and SiO2 Surfaces Plasma
Etched in CF4-H2 Glow Discharges,” J. Appl. Phys. 50:5210 (1979).
61. R. d’Agostino, F. Cramarossa, F. Fracassi, E. Desimoni, L. Sabbatini,
P.G. Zambonin, and G. Caporiccio, “Polymer Film Formation in C2F6-H2
Discharges,” Thin Solid Films 143:163 (1986).
62. M. Shima, “A Study of Dry-Etching Related Contaminations of Si and
SiO2,” Surf Sci. 86:858 (1979).
63. S. Joyce, J.G. Langan, and J.I. Steinfeld, “Chemisorption of Fluorocarbon
Free Radicals on Si and SiO2,” J. Chem. Phys. 88:2027 (1988).
64. C. Cardinaud and G. Turban, “Mechanistic Studies of the Initial Stages of
Si and SiO2 in a CHF3 Plasma,” Appl. Surf. Sci. 45:109 (1990).
65. G.S. Oehrlein, K.K. Chan, and G.W. Rubloff, “Surface Analysis of
Realistic Semiconductor Microstructures,” J. Vacuum. Sci. Technol. A
7:1030 (1989).
66. G.S. Oehrlein and J.F. Rembetski, “Study of Sidewall Passivation and
Microscopic Silicon Roughness Phenomena in Chlorine-based Reactive
Ion Etching of Silicon Trenches,”J. Vacuum Sci. Technol. B 8:1199 (1990).
67. K.V. Guinn and C.C. Chang, “Quantitative Chemical Topography
of Polycrystalline Si Anisotropically Etched in Cl2/O2 High Density
Plasmas,” J. Vacuum Sci. Technol. B 13:214 (1995).
68. K.V. Guinn and V.M. Donnelly, “Chemical Topography of Anisotropic
Etching of Polycrystalline Si Masked with Photoresist,” J. Appl. Phys.
75:2227 (1994).
References 165
69. F.H. Bell and O. Joubert, “Polycrystalline Gate Etching in High Density
Plasmas. II. X-Ray Photoelectron Spectroscopy Investigation of Silicon
Trenches Etched Using a Chlorine-based Chemistry,” J. Vacuum Sci.
Technol. B 14:1796 (1996).
70. M.M. Millard and E. Kay, "Difluocarbene Emission Spectra from
Fluorocarbon Plasmas and Its Relationship to Fluorocarbon Polymer
Formation,” J. Electrochem. Soc. 129:160 (1982).
71. J.W. Coburn and E. Kay, “Some Chemical Aspects of Fluorocarbon
Plasma Etching of Silicon and Its Compounds,” IBM J. Res. Dev. 23:33
(1979).
72. V.M. Donnelly, D.E. Ibbotson, and D.L. Flamm, “Ion Bombardment
Modification of Surfaces: Fundamentals and Applications," Elsevier, New
York, (1984).
73. F.H.M. Sanders, J. Dieleman, H.J.B. Peters, and J.A. M. Sanders,
“Selective Isotropic Dry Etching of Si3N4 over SiO2” J. Electrochem. Soc.
129:2559 (1982).
74. C.J. Mogab, “The Loading Effect in Plasma Etching,” J. Electrochem.
Soc. 124:1262 (1977).
75. B.A. Heath and T.M. Mayer, “VLSI Electronics Microstructure Science
Plasma Processing for VLSI,” Academic Press, New York, (1984).
76. J.M.E. Harper, “Ion Beam Techniques in Thin Film Deposition,” Solid
State Technol. 30:129 (1987).
77. R.E. Lee, “Ion-Beam Etching (Milling),” VLSI Electronics Microstructure
Science 8, Plasma Processing for VLSI, Academic Press, New York,
(1984).
78. H.R. Kaufman, J.J. Cuomo, and J.M.E. Harper, “Techniques and
Applications of Broad-Beam Ion Sources Used in Sputtering—Part 1. Ion
Source Technology,” J. Vacuum Sci. Technol. 21:725 (1982).
79. J.M.E. Harper, “Ion Beam Etching-Plasma Etching: An Introduction,”
Academic Press, New York, (1989).
80. S. Matsup and Y. Adachi, “Reactive Ion Beam Etching Using a Broad
Beam ECR Ion Source,” Jpn. J. Appl. Phys. 21:L4 (1982).
6
Diffusion
6.1 INTRODUCTION
The selectively changing of electrical properties of silicon through the
introduction of impurities commonly referred to as dopants. In the early
years of integrated circuit fabrication, deep semiconductor junctions required
doping processes followed by a drive-in “step to diffuse the dopants to the
desired depth”, i.e. diffusion was required to successfully fabricated devices.
Impurity atoms are introduced onto the surface of a silicon wafer and diffuse
into the lattice because of their tendency to move from regions of high to low
concentration. The doping concentration decreases monotonically from the
surface, and the in-depth distribution of the dopant is determined mainly by
the temperature and diffusion time. Diffusion of impurity atoms into silicon
crystal takes place only at elevated temperature, typically 900 to 1200°C.
Diffusion and ion implantation are the two key processes to introduce a
controlled amount of dopants into semiconductors and to alter the conductivity
type. In modern state-of-the-art IC fabrication the required junction depths
have become so shallow that dopants are introduced into the silicon at
the desired depth by ion implantation and any diffusion of the dopants is
unwanted; therefore diffusion has become a problem as opposed to an asset.
There are many non-state-of-the-art processes still in use throughout that
industry where doping and diffusion are still in use and for state-of-the-
art processes diffusion must be understood in order to minimize undesired
effects. Generally speaking, diffusion and ion implantation complement
each other. For instance, diffusion is used to form a deep junction, such as an
n-tub in a CMOS device, while ion implantation is utilized to form a shallow
junction, like a source / drain junction of a MOSFET.
168 Chapter 6 Diffusion
Boron is the most common p-type impurity in silicon, whereas arsenic and
phosphorus are used extensively as n-type dopants. These three elements are
highly soluble in silicon with solubilities exceeding >1020 atoms/cm3 in the
diffusion temperature range (between 80°C and 120°C). These dopants can
be introduced via several means, including solid sources (BN for B, As2O3
for As, and P2O5 for P), liquid sources (BBr3, AsCl3, and POCl3), and gaseous
sources (B2H6, AsH3, and PH3). Usually, the gaseous source is transported
to the semiconductor surface by an inert gas (e.g. N2) and is then reduced at
the surface. After the impurities are introduced they may redistribute in the
wafer. This may be intentional or it may be a parasitic effect of a thermal
process. In either event, it must be controlled and monitored. The motion of
impurity atoms in the wafer occurs primarily by diffusion, the net movement
of a material that occurs near a concentration gradient as a result of random
thermal motion.
for a silicon atom which has vacated a usually occupied site as shown in the
figure 6.1 below.
the voids is big enough to contain an impurity atom. An impurity atom located
in one such void can move to a neighboring void, as shown in the figure 6.2
below.
In doing so it again has to surmount a potential barrier due to lattice this time,
most neighboring interstitial sites are vacant so the frequency of movement
is reduced. Again, the diffusion rate due to this process is very slow at
room temperature but becomes practically acceptable at normal operating
temperature of around 1000°C. It will be noticed that the diffusion rate due
to interstitial movement is much greater than for substitutional movement.
This is possible because interstitial diffusants can fit in the voids between
silicon atoms. For example, lithium acts as a donor impurity in silicon, it is
not normally used because it will still move around even at temperatures near
room temperature, and thus will not be frozen in place. This is true of most
other interstitial diffusions, so long-term device stability cannot be assured
with this type of impurity.
Fick,s first law is applicable to dopant impurities used in silicon. In general the
dopant impurities are not charged, nor do they move in an electric field, so the
usual drift mobility term (as applied to electrons and holes under the influence
of electric field) associated with the above equation can be omitted. In this
equation N is in general function of x, y, z and t.
The change of solute concentration with time must be the same as the local
decrease of the diffusion flux, in the absence of a source or a sink. This follows
from the law of conservation of matter. Therefore we can write down the
following equation
9N ( x, t ) 9F ( x, t )
=– ... (6.2)
9t 9x
Substituting ‘F’ value in the above equation:
9N ( x, t ) 9 ] 9N ( x, t ) ]
= ] D* ... (6.3)
9x 9x ] 9x |]
The diffusion constant can be considered as a constant if the concentration of
the solute is low at a given temperature.
So the equation becomes
9N ( x, t ) ] 9 2 N ( x, t ) ]
= D] | ... (6.4)
] 9 x ]
2
9x
This is Fick’s second law of distribution. Fick’s second law is identical in
form to the equation for heat conduction differing only in the constant D, and
therefore the large body of work on heat flow can be applied to the problems
of impurity atom diffusion in silicon.
g erfc (y)
0 1.0
0.5 0.5
1.0 1.7
1.5 0.35
2.0 0.005
2.1 0.0004
~ 0
1.0
Ns = Constant (ERFC)
N/Ns
0.5 Dt = 1.1 mm
0.5 mm
0.1 mm
1
Dt = 1.0 mm
10–1
0.5 mm
10–2
N/Ns
10–3
0.1 mm
10–4
10–5
0 1 2 3 4
Duffusion Deph x (mm)
Fig. 6.4 (a) Diffusion Profiles of erf vs distance for successive diffusion times
6.4 Diffusion Profiles 175
1 Concentration
(Log Scale)
10–1 Ns = Const.
10–2 Ns
N t4 > t3 > t2 > t1
–3
Ns 10
Background
10–4 t1 t2 t3 t4 Concentration
10–5
1 2 3
a = xj / 4Dt 0 x1 x2 x3 x4 Distance, x
Complementary error function distribution Constant source diffusion profiles
for various periods of time
If the diffused impurity type is different from the resistivity type of the substrate
material, a junction is formed at the points where the diffused impurity
concentration is equal to the background concentration already present in the
substrate.
In the fabrication of monolithic IC’s, constant source diffusion is commonly
used for the isolation and the emitter diffusion because it maintains a high
surface concentration by a continuous introduction of dopant. There is an
upper limit to the concentration of any impurity that can be accommodated at
the semiconductor wafer at some temperature. This maximum concentration
which determines the surface concentration in constant source diffusion is
called the solid solubility of the impurity.
|N ( x,t ) = S
0
and N ( ~,t ) = (x, 0) = 0. The boundary conditions are:
~
|N ( x,t )
0
= S and N (~, t) = 0 ... (6.8)
where S is the total amount of dopant per unit area, the solution of the diffusion
equation satisfying the above conditions is
S [ x2 }
N (x, t) = exp { } ... (6.9)
p Dt [ 4Dt }
This expression is the Gaussian distribution, and the dopant profile is displayed
in figure 6.5. By substituting x = 0 into equation 6.9:
S
Ns (t) = ... (6.10)
p Dt
The dopant surface concentration therefore decreases with time, since the
dopant will move into the semiconductor as time increases. The gradient of
the diffusion profile is obtained by differentiating equation 6.9:
dN x
= - N ( x, t ) ... (6.11)
dx 2Dt
The gradient is zero at x = 0 and x = ~, and the maximum gradient occurs
x = 2Dt .
1 × 105
S = Constant
N/S (cm–1)
(Gaussian)
0.1 mm
0.5
0.5 mm
Dt = 1.0 mm
0
1 × 105
104 Dt = 1.0 mm
N/S (cm–1)
103
0.5 mm
2
10
0.1 mm
101
1
0 1 2 3 4
Diffusion Depth x (mm)
Fig. 6.5. Diffusion profile of Normalized Gaussian Function vs distance
for successive times
6.5 Dual Diffusion Process 177
Both the complementary error function and the Gaussian distribution are
function of a normalized distance. Hence, if we normalize the dopant
concentration with the surface concentration, each distribution can be
represented by a single curve valid for all diffusion times, as shown in
figure 6.6. The essential difference between the two types of diffusion
techniques is that the surface concentration is held constant for error function
diffusion. It decays with time for the Gaussian type owing to a fixed available
doping concentration (S). For the case of modeling the depletion layer of a
p-n junction, the erfc is modeled as a step junction and the Gaussian as a
linear graded junction. In the case of the erfc, the surface concentration is
constant, typically the maximum solute concentration at that temperature or
solid solubility limit.
10
Gaussian
N/Ns
erfc
10
Gaussian
10–1
erfc
10–2
N/Ns
10–3
Gaussian: S = Constant
10–4
erfc: NS = Constant
10–5
0 1 2 3
x/2 Dt
10
D/D1(T)
Intrinsic Extrinsic
Diffusion Diffusion
0.1
0.01 0.1 1.0 10 100
n/n1(T)
the Fermi level will move toward the conduction band edge for donor-type
vacancies, and the term becomes larger than unity. This causes Nv to increase,
which in turn gives rise to enhanced diffusion, as exhibited in figure 6.8.
Even though it is now known that substitutional diffusion is not strictly
vacancy dominated, Fair’s model is useful for the reasonable results it obtains
without resorting to complex simulation. The temperature dependence of the
diffusivity values presented in the next several sections will take the arhenius
form
- Ea
D = D0 e kT
... (6.15)
Where D0 is a pre exponential constant, Arhenius equations form a straight
line when ln(D) is plotted versus 1/T.
cm2/s
The extrinsic diffusivity of antimony is given by
]n]
Dx = D 0 + D - ] | ... (6.16)
+ = ] ni ]
here D and D = 0,
-3.65 -4.08
D0 and D- are given by D 0 = 0.214e kT cm2/s and D - = 15.0e kT cm2/s
1×10–11
1×10–12
1021
1×10–13
Diffusivity (cm2/s)
1020
1×10–14
1019
1×10–15
1×10–16 Intrinsic
1×10–17
1×10–18
800 900 1,000 1,100 1,200
Temperature (°C)
1×10–11
1×10–12
1021
1×10–13
Diffusivity (cm2/s)
1020
1×10–14
1019
1×10–15
1×10–16 Intrinsic
1×10–17
1×10–18
800 900 1,000 1,100 1,200
Temperature (°C)
- ] n ]
Dx = D + D ] |
0
... (6.18)
] ni ]
and D+ and D= = 0, D0 and D– are given by
-3.44
D0 = 0.066e kT cm2/s
-4.05
D– = 12.0e cm2/s
kT
and
Diffusivity of arsenic versus doping is plotted in figure 6.9
Arsenic has exactly the same tetrahedral diameter as silicon and so arsenic
does not strain the silicon lattice or induce enhanced diffusivity in other
dopants for T~700oC.
6.5.4 Diffusivity of Boron in Silicon
In Fair’s model of diffusion, boron is assumed to diffuse exclusively by a
vacancy mechanism under non-oxidizing conditions. Estimates of the
interstitialcy component of boron diffusion are presented in table 6.2 and have
recently been estimated at < 98%.
Temperature (°C)
950°C 1,000°C 1050°C 1100°C
0.16 0.17 0.18 0.19
0.22 0.34 0.42 0.52
0.17 0.32 0.17 0.17
0.38 0.17 0.60 0.80
1 × 10–10
1 × 10–11
1 × 10–12 1021
Diffusivity (cm2/s)
1 × 10–13
1020
1 × 10–14 1019
1 × 10–15
Intrinsic
1 × 10–16
1 × 10–17
1 × 10–18
700 800 900 1,000 1,100 1,200
Temperature (°C)
(PV)–ans3
Dan2
ns Electron Concentration, n
ne (PV)– (PV)0 + e–
(PV)0 P+ + V–
Kink
Excess Vacancy
Concentration
Tail Region
D = const. x ns3 Emitter Dip
Effect
(V)–
0 X0 X
1 × 10–11
1 × 10–12 1021
Diffusivity (cm2/s)
1 × 10–13
1020
1× 10–14
1 × 10–15
1 × 10–16 1019
Intrinsic
1× 10–17
1 × 10–18
700 800 900 1,000 1,100 1,200
Temperature (°C)
Region of
enhanced
boron Region of
diffusion intrinsic
boron
diffusion
1×10–7 Au
Zn Ni
Pt
1×10–9
Ag
1×10–11 O2
S
Diffusivity (cm2/s)
C
1×10–13 Al
Sb
In
Ga As
1×10–15
Sn
P N
1×10–17 B
Bi
Ge Si
1×10–19
1×10–21
1×10–23
1×10–25
600 700 800 900 1,000 1,100 1,200 1,300
Temperature (°C)
e–
F e–
e–
F
Donors Electrons
Thus
mnE = -D (6n/6x ) = (kT/q )m (6n/6x) ... (6.27)
Simplifying E = -(kT /q)(1/n)(dn/dx) ... (6.28)
Substituting in eqn. (6.25)
j = -D (1 + dn/dN) (6n/6x) ... (6.29)
Thus the impurities move with an effective diffusion coefficient Deff
Deff = D (1 + dn/dN) ...(6.30)
For an n-type impurity
n/ni = N/2 ni + [(N/2ni)2 + 1]1/2
so that dn/dN = 1/2 [1 + {1 + (N/2ni)2}-1/2]
Thus there can be a substantial increase in the effective diffusion coefficient
with doping concentration, by even a factor of 2. This has been witnessed
experimentally for substitutional diffusers.
6.8 DIFFUSION SYSTEMS
The choice of dopants in Si has been discussed. There are broadly 2 types of
diffusion systems employed (i) open tube and (ii) closed tube. There are some
general requirements for diffusion systems. These are:
(a) The surface concentration should be capable of being controlled over
a wide range up to the solid solubility limit
(b) The diffusion process should not result in any damage to the surface
(c) The dopant remaining after diffusion should be capable of easily
removed and
(d) The system should be reproducible and capable of handling a large
number of wafers simultaneously.
(e) The temperature control should provide a central flat aone with +1/2.C
variation in Temperature.
A diffusion furnace is a carefully designed apparatus proficient of upholding
uniform temperature between 600–1200°C with a feedback controller. The
diffusion tube made of high purity fused silica must be handled with great
care, one tube and slice carrier being used for each type of dopant to prevent
contamination. The length of the tubes varies from 10 cm – 150 cm or more
for industrial furnaces. For large tubes the insertion of the carrier is done
mechanically from one end, the other end being used for flow of gases and
dopants. The temperature of the furnace is gradually ramped up from 600°C
after insertion of the wafers with a programmed temperature controller
190 Chapter 6 Diffusion
B Diffusion
The most common p-type impurity is Boron because of its high solid solubility
which is 6 × 1020/cm3 as given in Table 6.3. However due to the large misfit
factor of B of 0.254 which introduces strain- induced defects, the actual upper
limit is 5 × 1019/cm3. Diffusion systems for Boron in Si are summarized in
Table 6.3.
Solid, liquid and gaseous sources are available for B diffusion. One of the
most common is Boric Oxide (B2O3). A preliminary reaction with B2O3 gives:
2 B2O3 (s) + 3 Si (s) 4 B (s) + 3 SiO2 (s)
The Si and B2O3 are kept at the same temperature and pre-deposition is carried
out in N2 ambient with 2-3% O2. The temperature of the B2O3 controls the
surface concentration of B as shown in (Fig. 6.16(c)). Excessive amounts of
B2O3 leads to the formation of B skin which is difficult to remove. Slices are
thus exposed to B2O3 source for a short time to form a glassy layer on the Si
surface. The source is then removed and drive-in diffusion carried out in an
oxidizing ambient.
This protects the surface against impurities. This process gives a 2 step-
diffusion profile. BN slices slightly larger than the Si wafers can be used which
can be sandwiched between Si slices with a spacing of 2–3 mm. These must be
pre-oxidized at 750–1100°C to form a thin skin of B2O3on the surface which
forms the diffusion source:
4 BN (s) + 7 O2 (g) 2 B2O3 (s) + 4 NO2 (g)
6.8 Diffusion Systems 191
Slices on carrier
To vent
To vent
Dpant gas
Chemical trap
Carrier
gases
(a)
Slices on
carrier
To vent
Quartz
Valves and diffusion tube
flow meters
Liquid source
Carrier Temperature
gases controlled bath
(b)
Platinum Slices on
source boat carrier
To vent
Quartz
diffusion tube
Valves and
flow meters
Fig. 6.16 (a) Gas source (b) liquid source (c) solid source diffusion system
192 Chapter 6 Diffusion
No carrier gas is required but a flow of 11 min of dry N2 prevents back diffusion
of contaminants. This process is extremely reproducible with excellent
uniformity across the wafers. To avoid sticking, BN in a silica matrix is often
used which also reduces B skin formation.
In thick film technology frequently used for the fabrication of solar cells,
mixtures of B2O3 and SiO2 in a polyvinyl alcohol solvent are used as spin-on
sources. Mixtures of carborane and alkylsiloxane which have better viscosity
control have also been used. An initial bake out is required before diffusion to
convert the components into B2O3 and SiO2.
Gaseous sources Fig. 6.16(a) which are used are diborane (B2H6) and BCl3
which give the following reactions:
300°C
B2H6 (s) + 3 O2 (g) B2O3 (s) + 3 H2O (l)
4 BCl3 (g) + 3 O2 (g) 2 B2O3 (s) + 6 Cl2 (g)
P Diffusion
The activation energy is the same as for B but the misfit factor is small compared
with B. High doping up to 5×1020/cm3 makes this an attractive system. The
sources available are: Liquid sources: POCl3, PCl3 and PBr3
4 POCl3 (l) + 3 O2 (g) 2 P2O5 (s) + 6 Cl2 (g)
Diffusion system for phosphorus in Si are summarised in table 6.4 of these the
most popular is POCl3. An oxidising gas mixture is used in the pre-deposition
stage. The presence of O2 reduces halogen pitting which becomes appreciable
only for doping conc. >1021/cm3. Adjustment of bubbler temperature gives
good control over surface concentration figure 6.16(b).
Gas Source: PH3 with 99.9% O2. The reaction is:
PH3 (g) + 4 O2 (g) P2O5 (s) + 3 H2O (l)
6.9 Oxide Masking 193
Sb Diffusion
This is used in special cases when the dopant impurity should be immobile
under further processing because Sb has a relatively high diffusion activation
energy of 3.95 eV. The sources available are:
Solid sources: Sb2O3 and Sb2O4 at 900°C
Liquid sources: Sb3Cl5 in a bubbler
In the last case Sb is transported as an oxide. Diffusion occurs through a glassy
layer following surface reaction with Si.
As Diffusion
As has misfit factor = 0 with Si and hence does not give rise to strain on heavy
doping. It is thus used for the fabrication of low resistivity epitaxial layers. It
is highly toxic and hence the diffusion systems must be handled with extreme
care. The sources used are:
Solid sources: 2 As2O3 (s) + 3 Si (s) 3 SiO2 (s) + 4 As (s)
Gas sources: 2 AsH3 (g) + 3 O2 (g) As2O3 (s) + 3 H2O (l)
Au Diffusion
Au is a very rapid diffuser in Si, almost 105 faster than B or P. It is used as a deep
level recombination centre to reduce the minority carrier life-time and hence
switching time in diodes and transistors. Prior to diffusion it is vacuum deposited
on Si as a ~ 10 nm thick layer on the back surface of the wafer. Au-Si alloy forms
resulting in damage to the Si surface. The diffusion time is typically 10–15 min
at 800–1050°C and results in Au diffusion throughout the wafer. Au diffusion
must be followed by rapid withdrawal and cooling to room temperature to
prevent out-diffusion effects. Since gold doping is difficult to control it is being
replaced by alternative techniques such as radiation-induced centers which can
be area-selective with the dose and energy being easier to control.
thickness of the SiO2 layers to act as a mask for a particular diffusion process
must be determined. The diffusion process in SiO2 can be considered to consist
of two steps: in the first the dopant impurities react with the SiO2 to form a
glass. As the process continues the glass thickness increases until it penetrates
the entire thickness of the oxide. At this point the second step commences –
the impurity after diffusing through the glass reaches the glass – Si interface
and starts diffusing into the Si. The first step is when the SiO2 is effective as
a mask against a given impurity. The required oxide thickness depends on the
diffusivity of the impurity in SiO2. Typical diffusivities at 900°C, 1100°C and
1200°C are given in Table 6.5.
Figure 6.17 shows the minimum thickness of dry-oxygen grown SiO2 required
as a mask against B and pass a function of temperature and time. It is noted
t(hr)
1 10
10
T-1200°C
1 1100
P
1000
Oxide mask thickness d(mm)
900
10–1 1200°C
B 1100
10–2 1000
900
10–3
10 102 103
t(min)
Fig. 6.17 Minimum thickness of SiO2 required to mask against B and P diffusion
6.10 Impurity Redistribution During Oxide Growth 195
that P requires thicker masks for the same diffusing conditions since it has a
higher diffusivity in SiO2. For a given temperature the thickness of d varies
as 1/2 since the diffusion length varies as (Dt)1/2. An oxide mask thickness of
0.5 - 0.6 mm is adequate for most conventional diffusion steps.
SiO2 SiO2
Si Si
1.0
1.0 k<1 k<1
Slow diffusant Fast diffusant
in SiO2 in SiO2
(e.g., B) (e.g., B in H2
ambient)
0 1.0 0 1.0
x(mm) x(mm)
(a) (b)
SiO2 SiO2
Si Si
1.0 1.0
k>1
k>1
Fast diffusant
Slow diffusant
in SiO2
in SiO2
(e.g., Ga)
(e.g., P)
0 1.0 0 1.0
x(mm) x(mm)
(a) (d)
Fig. 6.18 Redistribution of Impurity between thermal oxide and Si (a) and (b) K < 1;
(c) and (d) k < 1
Another factor is the rapid diffusion of the impurity through the oxide and
escape into the ambient. This will depend on the diffusivity of the impurity in
the oxide. A third factor is the growth of the oxide into the Si and the consequent
196 Chapter 6 Diffusion
motion of the Si-oxide interface. Thus the redistribution will depend on the
rate of movement of the oxide in comparison with the rate of diffusion of the
impurity through the oxide. Since the oxide layer is about twice as thick as the
Si it replaces the same impurity will be redistributed in a larger volume thus
resulting in depletion of the impurity from Si even if k = 1.
Four distinct cases may arise:
1. k < 1 : The oxide takes up the impurity which diffuses slowly through
the oxide. e.g. B with k = 0.3. Consequently there is build-up of
impurity in the oxide (Fig. 6.18(a))
2. k < 1 : The oxide takes up the impurity which diffuses rapidly out
through the oxide. e.g. B heated in H ambient, as H in SiO2 enhances
the diffusivity of B (Fig. 6.18(b))
3. k > 1 : The oxide rejects the impurity and the diffusivity of the impurity
in SiO2 is slow resulting in build-up at the Si interface e.g. k = 10 for
P, Sb and As (Fig. 6.18(c))
4. k > 1 : The oxide rejects the impurity and the diffusivity of the impurity
in SiO2 is rapid so that the impurity escapes from the solid into the
gaseous ambient that there is overall a depletion of the impurity e.g. Ga
with k = 20 and a fast diffuser in SiO2 (Fig. 6.18(d))
In practice redistribution effects are important for B with the surface
concentration being reduced to 50% of its value in the absence of redistribution.
For P the overall effect is negligible since the redistribution and diffusion effects
cancel each other out. The impurities in the oxide are hardly electrically active
but they affect processing and device properties. The oxidation rate is affected
by high dopant concentrations in Si. Non-uniform distribution of impurities in
the oxide affects the interface- state properties.
0
2.3
N/Ns= 0.5
0.5
0.3
1.0 rj
0.1
x/2 Dt
1.5 0.03
0.01
2.0 0.003
0.001
2.5 0.0003
0.0001 2.8
3.0
–2.0 –1.0 0 1.0 2.0
y/2 Dt
Fig. 6.19 Lateral diffusion effect at the edges of an oxide mask window
6.13.1 Staining
Junction depths are commonly measured on an angle-lapped (1o to 5o) sample
chemically stained by a mixture of 100 c.c. HF (49%) and a few drops of
HNO3. If the sample is subjected to strong illumination for one to two minutes,
the p-type region will be stained darker than the n-type region, as a result of a
reflectivity difference of the two etched surfaces. The location of the stained
6.13 Measurement Techniques 199
NB Log N(x)
x1 n+ 0
x1
b
a
p
x
(a) (b)
Volt Meter
Sample
In the system shown in figure 6.21 a constant current is supplied to the outer
two probes and the voltage drop is measured across the inner two probes. The
sheet resistance is given by
p V V
p = x j = 4.532 x j = RS x j ... (6.34)
ln 2 I I
where, I is a forced current, V is the measured voltage drop and Rs is the sheet
resistance.
For a diffused junction the concentration varies with depth so the average
resistivity is given by
xj
xj 1
p =
q | C( x)m( x) dx
0
... (6.35)
where, C(n) concentration of q is the electron and μ(x) is the mobility. Equation
6.34 can be numerically evaluated for diffused gaussian and error function
profiles with varying background concentrations. The resulting curves of
surface concentration versus average resistivity are known as Irvin curves
after the first researcher to present the technique.
6.13 Measurement Techniques 201
SRP probes
Sample
The voltage drop across the needles is measured by a known current applied
between the needles. The resistivity in a small volume under the needle is
given by
p = 2RSR a ... (6.36)
where, RSR is the spreading resistance value and a is a geometric factor
determined by measuring a sample of known resistivity.
The carrier concentration can be calculated by the relationship between
concentration and resistivity. If the motion of the probes across the bevel is
well controlled then the bevel angle is known so the profile versus depth can
be calculated. SRP has a couple of limitations:
202 Chapter 6 Diffusion
6.14 SUMMARY
This chapter reviewed the physics of diffusion and presented Fick’s laws,
the relations that govern diffusion. Two particular solutions were presented
corresponding to drive-in and pre-deposition diffusion. The atomistic
mechanisms of diffusion were presented along with heavy doping effects.
The details of diffusion for a variety of popular dopants were also discussed.
At high doping concentrations the diffusion coefficient is no longer constant,
but frequently depends on the local doping concentration and concentration
gradient. A numerical tool, was introduced that allows the student to calculate
dopant profiles in the presence of these nonlinear effects.
PROBLEMS
1. Assume that you have been asked to measure the diffusivity of a donor
impurity in a new elemental semiconductor. What constants would you
need to measure? What experiments would you attempt? Discuss the
measurement techniques that you would use to measure the chemical
and carrier profiles. What problems are likely to arise?
2. Assume that a wafer is uniformly doped. If a Schottky contact is
formed on the surface, what would the C–V curve look like?
3. How sheet resistance of diffused junction is measured? Explain four
point probe method for that.
4. Explain Fick law of diffusion in detail.
5. A diffusion furnace is ramped up (from 500oC) for 20 min, held at
100oC for 30 min, and ramped down to 500oC in 15 min. Calculate the
effective diffusion time, assuming phosphorus in silicon.
REFERENCES
1. K.B. Kahen, “Mechanism for the Diffusion of Zinc in Gallium Arsenide,”
Mater. Res. Soc. Symp. Proc., 163:681 (1990).
2. M.E. Greiner and J.F. Gibbons, “Diffusion of Silicon in Gallium Arsenide
Using Rapid Thermal Processing: Experiment and Model,” Appl. Phys. Lett.
44:740 (1984).
References 203
3. K.L. Kavanaugh, C.W. Magee, J. Sheets, and J.W. Mayer, “The Interdiffusion
of Si, P, and in at Polysilicon Interfaces,” J. Appl. Phys. 64:1845 (1988).
4. S. Yu, U.M. Gosele, and T.Y. Tan, “An Examination of the Mechanism of
Silicon Diffusion in Gallium Arsenide,” Mater. Res. Soc. Symp. Proc., 163:671
(1990).
5. K.B. Kahen, D.J. Lawrence, D.L. Peterson, and G. Rajeswaren, “Diffusion
of Ga Vacancies and Si in GaAs,” in Mater. Res. Soc. Symp. Proc., 163:677
(1990).
6. J.J. Murray, M.D. Deal, E.L. Allen, D.A. Stevenson, and S. Nozaki, “Modeling
Silicon Diffusion in GaAs Using Well-Defined Silicon Doped Molecular
Beam Epitaxy Structures,” J. Electrochem. Soc. 137(7):2037 (1992).
7 D. Sudandi and S. Matsumoto, “Effect of Melt Stoichiometry on Carrier
Concentration Profiles of Silicon Diffusion in Undoped LEC Sl-GaAs," J.
Electrochem. Soc. 136:1165 (1989).
8. L.B. Valdes, “Resistivity Measurements on Germanium for Transistors,”
Proc. IRE 42:420 (1954).
9. M. Yamashita and M. Agu, “Geometrical Correction Factor of Semiconductor
Resistivity Measurement by Four Point Probe Method,” Jpn. J. Appl. Phys.
23:1499 (1984).
10. D.K. Schroder, “Semiconductor Material and Device Characterization,” Wiley-
Interscience, New York, (1990).
11. L.J. Van der Pauw, "A Method for Measuring the Specific Resistivity and Hall
Effect of Discsof Arbitrary Shape,” Phillips Res. Rep. 13:1 (1958).
12. D.S. Perloff, “Four-point Probe Correction Factors for Use in Measuring
Large Diameter Doped Semiconductor Wafers,” J. Electrochem. Soc. 123:1745
(1976).
13. A. Diebold, M. R. Kump, J. J. Kopanski, and D. G. Seiler, “Characterization
of two-dimensional dopant profiles: Status and review," J. Vacuum Sci.
Technol. B 14:196 (1996).
14. J.S. McMurray, J. Kim, and C.C. Williams, “Direct Comparison of
TwoDimensional Dopant Profiles by Scanning Capacitance Microscopy with
TSUPRE4 Process Simulation,” J. Vacuum Sci. Technol. B. 16:344 (1998).
15. M. Pawlik, “Spreading Resistance: A Comparison of Sampling Volume
Correction Factors in High Resolution Quantitative Spreading Resistance,”
STP 960, American Society for Testing and Materials, Philadelphia, (1987).
16. R.G. Maaur and G.A. Gruber, "Dopant Profiles in Thin Layer Silicon
Structures with the Spreading Resistance Profiling Technique," Solid State
Technol. 24:64 (1981).
17. P. Blood, "Capacitance-Voltage Profiling and the Characteriaation of III-V
Semiconductors Using Electrolyte Barriers,” Semicond. Sci. Technol., 1:7
(1986).
204 Chapter 6 Diffusion
18. M. Ghezzo and D.M. Brown, “Diffusivity Summary of B, Ga, P, As, and Sb
in SiO2,” J. Electrochem. Soc., 120:146 (1973).
19. Z. Zhou and D.K. Schroder, “Boron Penetration in Dual Gate Technology,”
Semicond. Int. 21:6 (1998).
20. K.A. Ellis and R.A. Buhrman, “Boron Diffusion in Silicon Oxides and
Oxynitrides,” J. Electrochem. Soc. 145:2068 (1998).
21. T. Aoyama, H. Arimoto, and K. Horiuchi, “Boron Diffusion in SiO2 Involving
High Concentration Effects,” Jpn. J. Appl. Phys. 40:2685 (2001).
22. S. Sze, “VLSI Technology,” McGraw-Hill, New York, (1988).
23. M. Uematsu, "Unified Simulation of Diffusion in Silicon and Silicon Dioxide,"
Defect Diffusion Forum, 38:237 (2005).
24. T. Aoyama, H. Tashiro, and K. Suzuki, “Diffusion of Boron, Phosphorus,
Arsenic, and Antimony in Thermally Grown Silicon Dioxide,” J. Electrochem.
Soc. 146(5):1879 (1999).
25. M. Susa, K. Kawagishi, N. Tanaka, and K. Nagata, “Diffusion Mechanism
of Phosphorus fromPhosphorus Vapor in Amorphous Silicon Dioxide Film
Prepared by Thermal Oxidation,” J. Electrochem. Soc. 144(7):2552 (1997).
26. T. Aoyama, K. Suzuki, H. Tashiro, Y. Toda, T. Yamazaki, K. Takasaki, and T.
Ito, “Effect of Fluorine on Boron Diffusion in Thin Silicon Dioxides and
Oxynitrides” J. Appl. Phys. 77:417 (1995).
27. T. Aoyama, K. Suzuki, H. Tashiro, Y. Tada, and K. Horiuchi, “Nitrogen
Concentration Dependence on Boron Diffusion in Thin Silicon Oxynitrides
Used for Metal-Oxide-Semiconductor Devices,” J. Electrochem. Soc. 145:689
(1998).
28. K.A. Ellis and R.A. Buhrman, “Phosphorus Diffusion in Silicon Oxide and
Oxynitride Gate Dielectrics,” Electrochem. Solid State Lett. 2(10):516 (1999).
29. F.J. Morin and J.P. Maita, “Electrical Properties of Silicon Containing Arsenic
and Boron,” Phys. Rev. 96:28 (1954).
30. W.R. Runyan and K.E. Bean, “Semiconductor Integrated Circuit Processing
Technology,” Addison-Wesley, Reading, MA, (1990).
31. P.M. Fahey, P.B. Griffin, and J.D. Plummer, "Point Defects and Dopant
Diffusion in Silicon,” Rev. Mod. Phys. 61:289 (1989).
32. T.Y. Yan and U. Gosele, “Oxidation-Enhanced or Retarded Diffusion and the
Growth or Shrinkage of Oxidation-Induced Stacking Faults in Silicon,” Appl.
Phys. Lett. 40:616 (1982).
33. S. Mizuo and H. Higuchi, “Retardation of Sb Diffusion in Si During Thermal
Oxidation,” J. Appl. Phys. Jpn. 20:739 (1981).
34. A.M.R. Lin, D.A. Antoniadis, and R.W. Dutton, “The Oxidation Rate
Dependence of Oxidation-Enhanced Diffusion of Boron and Phosphorus in
Silicon,” J. Electrochem. Soc. 128:1131 (1981).
References 205
7.1 INTRODUCTION
Ion implantation is an alternative to diffusion process which changes the
physical and electronic properties of a material by forcibly embedding
different types of ions into the material. The technique dates back to the
1940’s when it was developed at Oak Ridge National Laboratory. Since
then the technique has applied in several materials processing processes. In
the 1970’s the use of ion implantation to modify the electrical properties of
semiconductors, metals, insulators and ceramics became extremely popular.
In diffusion process the transistor dimensions is not accurately controlled as
the quantity of doping and the depth of doping is not quite controllable. The
constant miniaturization of device dimensions in integrated circuit needs
precised control on transistor dimensions. When the transistor dimensions
were 5 micron (i.e. 5,000 nm) or so, if the variability in doping level is 100
nm, it was acceptable because it was only 2% but this much variability is not
acceptable when the transistor itself is 100 nm of size. Ion implantation is a
very prevalent process for VLSI because it arrange for more precise control
of dopants (as compared to diffusion). With the reduction of device sizes
to the submicron range, the electrical activation of ion-implanted species
relies on a rapid thermal annealing technique, resulting in as little movement
of impurity atoms as possible. Thus, diffusion process has become less
significant than methods for introducing impurity atoms into silicon for
making very shallow junctions, an important feature of VLSI circuits.
The main benefits over the diffusion are low temperature, more precise control
and reproducibility of impurity doping, and shallow implant. However, owing
to high-energy bombardment causing damaged crystal lattice, Rapid thermal
208 Chapter 7 Ion Implantation
system, and beam line system. These systems will be discussed in details in
the following sub-sections.
+ –
V Focus
X&Y
Analyzing magnet
0-200 keV Neutral Scan
trap plates
Wafer
Accelerator
Ion + – Extraction
Source V electrode
Faraday cup
7.2.5.2 Extraction
An extraction electrode with negative bias draws the positive ion out from the
plasma in the ion source and accelerates it to adequately high 50 keV energy. It
is a requirement for ion to attain high energy before the analyaer magnetic field
can select the right type of ion species. When the dopant ions accelerate toward
the extraction electrode, some of the ions pass through the slit and continue to
travel along the beam line. Dome hit the extraction electrode surface, which
generates X-ray and excites some secondary electrons. A suppression electrode
with sufficiently lower electrical potential up to 10 kV than the extraction
electrode is used to prevent these electrons being accelerated back to the ion
source that would cause damage. All electrodes are shaped with a narrow slit
through which ions are extracted as a collimated ion flux forming an ion beam.
7.2.5.3 Mass Analyzer
In magnetic field, the charge ion starts to rotate from the magnetic force,
which is always perpendicular to the direction of the charged ion. For the
fixed magnetic field strength and ion energy; the gyro radius is related only to
the mass to charge ratio or m/q of the charge ion. This property had been used
for isotope separation to get enriched uranium235 for making nuclear bomb. In
most of the ion implanter, mass analyzer is used to select precisely the right
of ion for implantation and weed out unwanted ion species. Species with less
m/q value will defect more and will not pass through slit of the mass analyzer.
Likewise, species with large m/q ratio will be stop too. A mass analyzer of an
ion implanter is shown in figure 7.2.
Magnetic field normal
to the page
Flight tube
Boron trifluoride (BF3) is one of the frequently used materials for p-type
implant. In the plasma, there are combinations of dissociative, ionized radicals,
and recombined molecules. Boron has two isotopes, which are boron-10 10B
(19.9%) and boron-11 11B (80.1%). Thus, in the plasma state are a number of
7.3 Ion Implant Stop Mechanism 213
ion types, which are 10B (10g), 11B (11g), 10BF (29g), 11BF (30g), F2(38g),
10BF (48g), and 11BF (49g).The figure in the parenthesis indicates the atom
2 2
weight or molecular weight. For p-well implantation, the lighter weight
10B+is preferred because it can penetrate deeper into silicon substrate. For
shallow junction implant 11BF2+ is preferred because of it large size and heavy
weight. At the lowest energy level, an ion implanter can provide 11BF2 ion for
shallowest p-type junction implant.
7.2.5.4 Post Acceleration
After the analyzer chooses the correct ion species, the ion goes through the
post acceleration section, where the beam current and final ion energy are
controlled. The ion beam current is controlled by a pair of adjustable vanes,
and the ion energy by post acceleration electrode potential, Ion beam focus
and beam sharp are controlled in this part by defining apertures and electrodes.
For the high energy ion implants, which are mainly in the well and buried
layer, it requires several high voltage acceleration electrodes connected in
series along the beam line in order to accelerate the ions to several MeV. For
ultra-shallow ion implant like the p-type boron implant, the electrode of post
acceleration is connected in reversed way so that ion beam is decelerated
instead accelerated when passing the electrode. It can generate a pure ion
beam with energy as low as 500 eV.
To avoid asymmetrical distribution of implant for all devices, the wafer often
rotates during implant or is implanted in four separate rotations. To completely
avoid shadowing effect requires that the implant to be done at zero tilt angle.
Alternatively, the x-y scan plates can direct the beam to scan the surface of
wafer for ion implantation.
Ion implantation provides a very precise way to introduce a specific dose or
number of dopant atoms into the silicon lattice. This is because the electrical
charge on the ion allows it to be counted by Faraday cup. In spite of the
preciseness in which the dose can be controlled.
of stopping is called nuclear stopping. In the hard collision, lattice atom can
get enough energy to break free from the lattice binding energy, which causes
lattice disorder and damage crystal structure. If the ion collides with electron
of the atom this type of collision, which is a soft collision, will not change the
path of the ion and the energy of the ion significantly. It will not cause crystal
damage and the range of penetration will be long. This type of collision is
called electronic stopping.
The type of collision of ion in silicon lattice can be random collision, channel
collision, and back scattering. Random collision and back scattering would be
the nuclear stopping, while channel collision will have electronic stopping.
Figure 7.3 illustrates the type of stopping mechanism. When projected ion hits
the nucleus, it constituents nuclear stopping. When the projected ion entersinto
substrate, it gets aligned with the gap between the host atoms, and they travel
a large distance before finally coming to rest. This phenomenon is called ion
channeling.
Ion
Random collision
(S = Sn + Se)
Channeling
(S = Se)
The total stopping power S of the target, which is defined the energy loss
E per unit path length of the ion x, would be consisted of two components
namely the nuclear stop and electronic stop. Figure 7.4 shows the relationship
between stopping power and velocity of ion for different types of stopping.
Mathematically, it can be expressed as
( dE ) ( dE )
S = ( ) + ( ) ... (7.1)
( dx ) nuclear ( dx )electronic
7.3 Ion Implant Stop Mechanism 215
Stopping Power, S
Electronic
Stopping
Nuclear
Stopping
I II III
V0Z12/3
Ion velocity
where M1 and M2 are the atomic mass numbers of ion and target atom
respectively. The probability of having an impact parameter between p and
p+dP is 2pi pdp, which is also known as the differential scattering cross section
d6.
d6 = 2p pdp
p
0
Z1M1
Z2M2
dP
T + dT
Fig. 7.5 Relationship between impact parameter and scattering cross section
Note# that based on center of mass frame, the velocity vimp before impact is
equal to the sum of the velocity after impact and the impacted atom, which
vimp = v1+ v2 where v1 and v2 are respectively equal to the after impact velocity
of implant ion and velocity of atom receiving the impact. According to the
center of mass, the after impact momentum of the implant ion is equal
to the momentum of atom that receiving the impact.
The rate of energy loss to nuclear collision per unit path length is equal to
the sum of energy loss for each possible impact parameter multiplied by
the probability of that occurring collision. If the maximum possible energy
transfer in a collision is Tmax and there are N target atmosper unit volume then
the nuclear stopping energy is
Tmax
( dE )
Snuclear = ( )
( dx ) nuclear
=N | Td6
0
... (7.6)
Projected range
RP
Vacuum
Silicon
Fig. 7.6 Different ranges of ion implantation incident normal to the surface of silicon
The distributions of ion implanted in silicon for various types of dopant are
shown in figure 7.7. Heavy ion such as antimony does not travel as far as
the lighter ion like boron. The distribution of heavy ion is narrower than the
distribution of lighter ion. The peak of the concentration of the ion is not
near the surface of silicon. It is situated at an average distance away from the
surface of silicon, which called the average projected range Rn.
1021
Sb
1020 As
p
Concentration (cm–3)
0.606 n0
1019 DRp
1018
1017
0 0.2 0.4 0.6 0.8 1.0
Depth (mm)
Fig. 7.7 Ion implanted distributions for various types of dopants in silicon
lattice at energy of 200 keV.
Each implanted ion traverses a random path as it penetrates the target, losing
energy by nuclear and electronic stopping. Since implantation doses are
usually higher than 102 ions/cm2, ion trajectories can be predicted employing
statistical means. The average total path length is called the range (R), which
218 Chapter 7 Ion Implantation
is composed of both lateral and vertical motions as shown in figure 7.6. The
average depth of the implanted ions is called the projected range (Rp) and
the distribution of the implanted ions about that depth can be approximated
as gaussian with a standard deviation Rp (or D6p)as shown in figure 7.7.
The lateral motion of the ions leads to a lateral gaussian distribution with a
standard deviation DRT(or D6T). Far from the mask edge, the lateral motion
can be ignored and n(x), the ion concentration at depth x, can be written as:
[| (x - R p ) 2 |}
n(x) = 0
n exp {- } ... (7.7)
|[ 2DR p2 |}
where no is the peak concentration, Rp is the projected range, and DRp is the
standard deviation. If the total implanted dose is QT, integrating equation 7.7
gives an expression for the peak concentration no
QT 0.4QT
n0 = ~ ... (7.8)
2p DR p DR p
0.40
0.35
B
0.30
0.25
Depth (mm)
0.20
p
0.15
0.10
Sb
As
0.05
0
0 40 80 120 160 200
Energy (keV)
(a)
0.12
0.10
Standard Deviation (mm)
B
0.08
0.06
p
0.04
Sb
0.02
As
0
0 40 80 120 160 200
Energy (keV)
(b)
Fig. 7.8 (a) Plot of average range (b) standard deviation of various dopants in Silicon
7.4 Range and Straggle of Ion Implant 219
-~ -~
( 2DR 2
)
( p
)
The solution of the integration is equal to
QT = 2p DR p C p ... (7.10)
Experimentally, it is relatively easy to disclose vertical atomic profiles.
However, it is much more difficult to accurately assess lateral atomic profiles,
the two dimensional projection near the window edge is of interest because
it designates how many ions scatter under the window due to lateral straggle.
Owing to the difficulty to experimentally measure the lateral dopant profile, a
two-dimensional distribution is often assumed to be composed of the product
of the vertical and lateral distribution.
nvert ( x)
n(x, y) = ... (7.11)
2p DRT
This equation describes the result of implanting at a single point on the surface
to obtain the result of implanting through a mask window; Equation 7.11 can
be integrated over the open areas where the ion beam can enter. Figure 7.9
displays the results for a 70 keV boron implant through 1μm slit in a thick
mask showing that ions scatter well outside the open area. To minimize lateral
scattering, masking layers are often tapered at the edge rather than perfectly
abrupt, so that ions are gradually prevented from entering the silicon.
220 Chapter 7 Ion Implantation
Ion Beam
Mask
Surface of Target
F(y) (a)
1.0 1.0
1.0
0.5 0.5 0.5
R R
y
–a 0 a
y(A)
5000 5000
0
10,000 10,000
0.5 (b)
10–1
5000 10–2
10–3
10–4
x(A)
Fig. 7.9 Two dimensional implant profiles (a) portion of total dose as a function of
lateral position for a mask (b) Equi-concentration contours for a 70 keV boron implant
through a 1 μm slit.
xm
np*
Concentration
nB n*(xm)
Qp
Depth
Rp*
The superscript * is use to identify the ranges and standard deviation in the
masking material since they are in general different from those values in the
silicon. The criterion for an efficient and effective masking has to follow
equation (7.7).
|[ (xm - R p ) }|
* 2
n
n* (xm) = 0
*
exp { - } ... (7.12)
[| 2DR*2p |}
QT [| (xm - R*p ) 2 }|
= exp {- } < nB ... (7.13)
2p DR*p [| 2DR*2p }|
Where n* is the concentration at the far side of a mask of thickness xm and
CB is the background concentration in the substrate. Setting n*(xm) = nB and
solving for the mask thickness yields equation.
( n* )
Xm = R*p + DR*p 2ln ( 0 ) = R*p + mDR*p ... (7.14)
( nB )
m is a parameter indicating that the thickness of the mask should be equal to the
range plus multiple m times of the standard deviation in the masking material.
Value m for different level of masking efficiency can be easily calculated from
this equation.
If QP is the amount of dose that penetrates the mask then QP can be
calculated using equation
2
QT ~( x - R*p )
Qp = |
2p DR*p xm
exp- (
( 2DR*p )
) dx ... (7.15)
( )
222 Chapter 7 Ion Implantation
QT ( X m - R*p )
Qp = erfc ( ) ... (7.16)
2 ( 2DR* )
( p )
QT ] ( X m - R*p )]
= ]1 - erf ( )| ... (7.17)
2 ] ( * )|
] ( 2DR p )]
–0.2
Distance (mm)
30° tilt
0.0
0.2
Fig. 7.11 Simulation result of 50KeVphosphorus implant at 30o tilt angle showing
asymmetrical implant and shadow caused by gate Polysilicon Simulation done on
TSUPREM V
( x2 )
= n ( 0 ) exp ( - ) ... (7.19)
( 4Dt )
By comparing these solutions, one can see that the implanted gaussian
profile with standard deviation RP has the same form as initial delta function
distribution that has diffused for an effective time temperature cycle of . Thus,
the effect of additional time temperature cycle of annealing on the implanted
gaussian distribution is expressed by equation.
( ( x - Rp ) )
2
QT ( )
n(x, t) = exp - ... (7.20)
2p (DR p2 + 2Dt ) ( 2 ( DR p2 + 2Dt ) )
( )
From above equation it is clearly shown that a gaussian distribution remains
as a gaussian distribution and it preserves its shape upon annealing in an
infinite medium although its standard deviation or straggle about the peak
concentration increases with the diffusion distance as shown in figure 7.12.
Implanted
After diffusion
caused by
annealing
2Dt DRp
7.7 ANNEALING
After ion implantation, the wafer is usually so severely damaged that the
electrical behavior is dominated by deep-level electron and hole traps that
capture carriers and make the resistivity high. Annealing is required to repair
lattice damage and put dopant atoms on substitutional sites. Figure 7.13
illustrates the effect of crystal structure before and after annealing.
224 Chapter 7 Ion Implantation
Lattice Atom Dopant Atom Lattice Atom Dopant Atom Lattice Atom Dopant Atom
(i) Before annealing (ii) During annealing (iii) Post annealing
(| )
xj 2
m n dx
0
NHall = xj ... (7.21)
| 0
m 2 n dx
where μ denotes the mobility, n is the number of carriers, and xj is the junction
depth. If the mobility is not a strong function of depth, NHall measures the total
number of electrically active dopant atoms. If annealing activates all of the
implanted atoms, this value should be equivalent to the dose, QT.
2.3 eV
100
Re-growth Rate A/min
10
(100)
(110)
(111)
1
1.1 1.2 1.3 1.4
103/T Kelvin
Depth A
176 528 880 1232 1584 1936
104
Furnace anneal
at 1000°C 30 min
103
Counts
102
Laser anneal
Pearson IV
101
100
0 40 80 120 160 200 240 280
Sputtering Time
Fig. 7.15 Profile of As using conventional furnace and laser RTA method
The process time for isothermal annealing involved heating process, is longer
than 1.0s. Isothermal annealing uses tungsten-halogen lamp or graphite
resistive strip to heat the wafer from one or both sides of the wafer as shown in
figure 7.16(a). Another method is furnace-based rapid thermal processing
and the apparatus is shown schematically in figure 7.16(b). In this method,
a thermal gradient is established by adjusting the power supplied to different
zones of the bell jar, with the hottest zone on top. The sample is introduced
rapidly into the zone to achieve RTA. A wafer temperature up to 1200oC and
ramp rate of up to 150oC/sec can be achieved.
228 Chapter 7 Ion Implantation
Temperature
Monitor
Wafer Tungsten-
Quartz Isolation Tube Halogen Lamps
Water-Cooled
Reflective
Enclosure
(a)
Wafer
Z
Elevator Control
Algorithm
Temperature
Measurement T
System
(b)
Fig. 7.16 (a) isothermal annealing system (b) Rapid thermal annealing system
60 keV As-Si
0
Relative Concentration
1022
Poly-Si Mono-Si
1021
Standard Interface
1020
n(cm–3)
1019
1018
Oxidized Interface
1017
–0.2 0 0.2 0.4
x(mm)
the substrate is sufficient to block most of the diffusion. For silicides, there
exists another option of implanting into the deposited metal film before the
heat treatment that forms the silicide. If the implant is beneath the metal layer,
the dopant atoms will be “snow ploughed” forward as the silicide forms,
resulting in a steep dopant gradient near the interface. If the implant is inside
the metal, it will segregate out at the moving silicide-silicon interface giving a
very sharply peaked dopant distribution as well.
Tub n-Type
6 Ti = 500°C 6 Ti = 500°C
SiO2 SiO2
5 5
Log10 [18O/28Si]
4 4
3 3
8600 A
2 2
1 2 3 4 5 1 2 3 4 5
(a) (b)
Fig. 7.20 Oxygen implantation into Si at 200 keV without annealing (a) Gaussian
shape for low O2 dope (b) become diffused toward the implant peak to form a
stoichiometric buried SiO2 layer at high annealing temperature and heavy oxygen
dose
SOI substrates can be synthesized using wafer bonding and back etching.
Using wafer bonding technology, two silicon wafers (one or both having a
surface oxide layer) can be pasted together resulting in a Si/SiO2/Si structure.
One side of the structure can be thinned by polishing to yield the required
silicon layer thickness. The advantage of this technique is that the thickness of
the oxide and silicon layers can be adjusted independently, but two wafers are
required to make one SOI wafer thereby raising the cost.
7.11 SUMMARY
This chapter introduced the technology of ion implantation. The components of
a modern ion implanter were described, and some limitations of ion implanting
impurities were presented. Most implant profiles can be described by a gaussian
distribution. Additional moments of the gaussian including skewness and
kurtosis are sometimes used to better approximate experimentally observed
profiles. After implantation the impurities must be annealed. The anneal step
activates the impurities and repairs the implant damage. Different annealing
234 Chapter 7 Ion Implantation
recipes are called for depending on the amount of damage in the substrate. In
silicon technologies, implantation can also be used to form buried insulators
through high dose oxygen implantation. Finally the use of software to simulate
implantation was covered.
PROBLEMS
11
1. A 40 keV implant of B is done into bare silicon. The dose is
1012 cm–2.
(a) What is the depth of the peak of the implanted profile?
(b) What is the concentration at this depth?
(c) What is the concentration at a depth of 4000 Å (0.4 μm)?
2. Phosphorus is implanted intosilicon. The implant parameters are a
_
dose of 1016cm 2 and an energy of 200 keV. Find the depth of the peak
of the implant profile and its value at that depth.
3. Compare calculations of large profiles for implantation for 100 keV
boron through 1400 Å of titanium silicide into silicon using the methods
of Rp scaling and does matching. Neglect skewness and assume a dose
of 1016 cm-2.
4. A typical high-current implanter operates with an ion beam of 2 mA.
How long would it take to implant a 140-mm-diameter wafer with O+
to a dose of 1 × 1018 cm–2?
5. The depth of the junction of the source/drain region in a MOSFET
must be reduced as the gate length is scaled. It is highly desirable
to produce low resistivity junctions thinner than 0.1 μm. Is this a
significant problem for ion implantation? What are the major problems
in forming these structures?
REFERENCES
1. J.W. Mayer, L. Erickson, and J.A. Davies, “Ion Implantation in Semiconductors,
Silicon and Germanium,” Academic Press, New York, (1970).
2. H. Ryssel and I. Ruge, “Handbook of Ion Implantation Technology,” Wiley,
New York, (1986).
3. G. Dearnaley, J.H. Freeman, R.S. Nelson, and J. Stephen, “Ion Implantation,”
New Holland Amsterdam, (1973).
References 235
19. T.Y. Tan, “Dislocation Nucleation Models from Point Defect Condensations
in Silicon and Germanium,” Materials Res. Soc. Symp. Proc. 2:163 (1981).
20. B.L. Crowder and R.S. Title, “The Distribution of Damage Produced by Ion
Implantation of Silicon at Room Temperature,” Radiation Effects 6:63 (1970).
21. T.E. Seidel, A.U. MacRae, F. Eisen and L. Chadderton, “The Isothermal
Annealing of Boron Implanted Silicon,” First International Conference on
Ion Implantation, Gordon and Breach, New York, (1971).
22. S. Wolf and R.N. Tauber, “Silicon Processing for the VLSI Era vol.1,” Lattice
Press, Sunset Beach, CA, (1986).
23. M.I. Current and D.K. Sadana, “Materials Characterization for Ion
Implantation,” in VLSI Electronics—Micro structure Science 6, Academic
Press, New York, (1983).
24. L. Csepergi, E.F. Kennedy, J.W. Mayer, and T.W. Sigmon, “Substrate
Orientation Dependence of the Epitaxial Growth Rate for Si-implanted
Amorphous Silicon,” J. Appl. Phys. 49:3906 (1978).
25 J.A. Pals, S.D. Brotherton, A.H. van Ommen, and H.J. Ligthart, “Recent
Developments in Ion Implantation in Silicon,” Mat. Sci. Eng. B,4:87 (1989).
26. J. Gyulai, J. Ziegler, “Annealing and Activation”, Handbook of Ion Implantaion
Technology, Elsevier Science, Amsterdam (1992).
27. S. Radovanov, G. Angel, J. Cummings, and J. Buff , “Transport of Low
Energy Ion Beam with Space Charge Compensation,” 54th Annual Gaseous
Electronics Conference, State College, PA, American Physical Society,
(2001).
28. B. Thompson and M. Eacobacci, “Maximizing Hydrogen Pumping Speed in
Cryopumps Without Compromising Safety,” Micro Mag. May (2003).
29. K. Ohyu and T. Itoga, “Advantage of Fluorine Introduction in Boron Implanted
Shallow P-n Junction Formation.” Jpn. J. Appl. Phys. 29(3):457 (1989).
30 D. Lin and T. Rost, “The Impact of Fluorine on CMOS Channel Length and
Shallow Junction Formation,” IEDM Technical Digest, 843 (1993).
31. M.A. Foad, R. Webb, R. Smith, J. Matsuo, A. Al Bayati, T.S. Wang, and
T. Cullis, “Shallow Junction Formation by Decaborane Molecular Ion
Implantation,” J. Vacuum Sci. Technol. B: Microelectron Nanometer Struct.
18(1):445-449 (2000).
32. A.S. Perel, W.K. Loizides, and W.E. Reynolds, “A Decaborane Ion Source for
High Current Implantation,” Rev. Sci. Instrum. 73(2 II):877 (2002).
References 237
33. M.A. Albano, V. Babaram, J.M. Poate, M. Sosnowski, and D.C. Jacobson,
“Low Energy Implantation of Boron with Decaborane Ion,” Materials
Research Society Symposium, Proceedings 610:B3.6.1-B3.6.6 (2000).
34. C. Li, M.A. Albano, L. Gladczuk, and M. Sosnowski, “Characteristics of
Ultra Shallow B Implantation with Decaborane,” Materials Research Society
Symposium, Proceedings 745: 235 (2002).
35. “Axcelis”, “Imax High Dose, Low Energy Boron Cluster Implant Technology
Added to Optima Platform,” Semicond. Fabtech (2006).
36. D. Jacobson, T. Horsky, W. Krull, and B. Milgate, “Ultra-high Resolution
Mass Spectroscopy of Boron Cluster Ions,” Nucl. Instrum. Methods,
Phys. Res. B: Beam Interactions Mater. Atoms (1-2): August, 2005, Ion
Implantation Technology Proceedings of the l5th International Conference on
Ion Implantation Technology, 406-410, (2005).
37. http://www.amat.com/products/Quantum.html?menuID=1_9_1.
38. K. Sridharan, S. Anders, M. Nastasi, K.C. Walter, A. Anders, O.R. Monteiro,
and W. Ensinger, “Nonsemiconductor Applications,” in Handbook of Plasma
Immersion Ion Implantation and Deposition, Wiley, New York, (2000).
39. P.K. Chu, N.W. Cheung, C. Chan, B. Mizumo, and O.R. Monteiro,
“Semiconductor Applications,” Handbook of Plasma Immersion Ion
Implantation and Deposition, Wiley, New York, (2000).
40. X.Y. Qian, N.W. Cheung, M.A. Lieberman, M.I. Current, P.K. Chu, W.L.
Harrington, C.W. Magee, and E.M. Botnick, “Sub-100 nm p _/n Junction
Formation Using Plasma Immersion Ion Implantation,” Nucl. Instrum.
Methods Phys. Res. B: Beam Interactions Mater. Atoms 55(1-4):821 (1991).
41. M. Takase and B. Mizuno, “New Doping Technology—Plasma Doping for
Next Generation CMOS Process with Ultra Shallow Junction-LSI Yield
and Surface Contamination Issues,” IEEE International Symposium on
Semiconductor Manufacturing Conference, Proceedings, B9-B11 (1997),
42. K.H. Weiner, P.G. Carey, A.M. McCarthy, and T.W. Sigmon, “Low-
Temperature Fabrication of p-n Diodes with 300-angstrom Junction Depth,”
IEEE Electron Dev. Lett. 13(7):369-371 (1992).
43. K.H. Weiner, and A.M. McCarthy, “Fabrication of Sub-40-nm p-n Junctions
for 0.18 μm MOS Device Applications Using a Cluster-Tool- Compatible,
Nanosecond Thermal Doping Technique,” Proc. SPIE 2091:63-70 (1994).
44. D.R. Myers and R.G. Wilson, "Alignment Effects on Implantation Profiles in
Silicon,” Radiation Effects 47:91 (1980).
238 Chapter 7 Ion Implantation
45. M.C. Ozturk and J.J. Wortman, “Electrical Properties of Shallow P_n
Junctions Formed by BF2 Ion Implantation in Germanium Preamorphized
Silicon,” Appl. Phys. Lett. 52:281 (1988).
46. H. Ishiwara and S. Horita, “Formation of Shallow P_n Junctions by B-Implantation
in Si Substrates with Amorphous Layers,” Jpn. J. Appl. Phys. 24:568 (1985).
47. T.E. Seidel, D.J. Linscher, C.S. Pai, R.V. Knoell, D.M. Mather, and D.C.
Johnson, “A Review of Rapid Thermal Annealing (RTA) of B, BF2 and As
Implanted into Silicon,” Nucl. Instrum. Methods B 7/8:251 (1985).
48. T.O. Sedgwick, A.E. Michael, V.R. Deline, and S.A. Cohen, “Transient Boron
Diffusion in Ion-implanted Crystalline and Amorphous Silicon,” J. Appl.
Phys. 63:1452 (1988).
49. G.S. Oehrlein, S.A. Cohen, and TO. Sedgwick, “Diffusion of Phosphorus
During Rapid Thermal Annealing of Ion Implanted Silicon,” Appl. Phys. Lett.
45:417 (1984).
50. H. Metzner, G. Suzler, W. Seelinger, B. Ittermann, H.P. Frank, B. Fischer,
K.H. Ergezinger, R. Dippel, E. Diehl, H.J. Stockmann, and H. Ackermann,
“Bulk-Doping-Controlled Implant Site of Boron in Silicon,” Phys. Rev. B.
42:11419 (1990).
51. L.C. Hopkins, T.E. Seidel, J.S. Williams, and J.C. Bean, “Enhanced Diffusion
in Boron Implanted Silicon,” J. Electrochem. Soc. 132:2035 (1985).
52. R.B. Fair, J.J. Wortman, and J. Liu, “Modeling Rapid Thermal Diffusion of
Arsenic and Boron in Silicon,” J. Electrochem. Soc. 131:2387 (1984).
53. A.E. Michael, W. Rausch, P.A. Ronsheim, and R.H. Kastl, “Rapid Annealing
and the Anomalous Diffusion of Ion Implanted Boron,” Appl. Phys. Lett.
50:416 (1987).
54. K.J. Reeson, “Fabrication of Buried Layers of SiO2 and Si3N4 Using Ion
Beam Synthesis,” Nucl. Instrum. Methods B19-20:269 (1987).
55. K. Izumi, M. Doken, and H. Ariyoshi, “CMOS Devices Fabricated on Buried
SiO2 Layers Formed by Oxygen Implantation in Silicon,” Electron. Lett.
14:593 (1978).
56. H.W. Lam, “SIMOX SOI for Integrated Circuit Fabrication,” IEEE Circuits
Devices, 3:6 (1987).
57. P.L.F. Hemment, E. Maydell-Ondrusz, K.G. Stevens, J.A. Kilner, and J.
Butcher, “Oxygen Distributions in Synthesized SiO2 Layers Formed by High
Dose O- Implantation into Silicon,” Vacuum, 34:203 (1984).
References 239
58. G.F. Celler, P.L.F. Hemment, K.W. West, and J.M. Gibson, “Improved
SOI Films by High Dose Oxygen Implantation and Lamp Annealing,” in
Semiconductor-on-Insulator and Thin Film Transistor Technology, Mater.
Res. Soc. Symp. Proc. 53, Boston, (1986).
59. S. Cristoloveanu, S. Gardner, C. Jaussaud, J. Margail, A.J. Auberton Herve, and
M. Bruel, “Silicon on Insulator Material Formed by Oxygen Ion Implantation
and High Temperature Annealing: Carrier Transport, Oxygen Activation, and
Interface Properties,” J. Appl. Phys. 62: 2793 (1987).
60. M.I. Current and W.A. Keenan, “A Performance Survey of Production Ion
Implanters,” Solid State Technol. 28:139 (1985).
61. H. Glawischnig and K. Noack, “Ion Implantation System Concepts,” Ion
Implantation Science and Technology, Academic Press, Orlando, FL, (1984).
62. P. Burggraaf, “Equipment Generated Particles: Ion Implantation,” Semicond.
Int. 14(10):78 (1991).
8
Film Deposition: Dielectric,
Polysilicon and Metallization
8.1 INTRODUCTION
Fabricating IC requires different kinds of thin films which can be classified
into five groups: a) epitaxy layers, b) thermal oxides c) dielectric layers d)
polycrystalline silicon e) metal films. The growth of epitaxial layer and thermal
oxides were discussed in chapter 2 and chapter 3 respectively. Dielectric layers
such as Silicon dioxide (SiO2) and silicon nitride (Si3N4) are used for insulation
between conducting layers as masks for diffusion and ion implantation, for
covering doped films to prevent the loss of dopants as well as for passivation
to protect devices from impurities, moisture, and scratches. Phosphorus-
doped silicon dioxide, commonly referred to as P-glass or phosphosilicate
glass (PSG), is especially useful as a passivation layer because it inhibits
the diffusion of impurities (such as Na), and it softens and flows at 950oC to
1100oC to create a smooth topography that is beneficial for depositing metals.
Borophosphosilicate glass (BPSG), formed by incorporating both boron and
phosphorus into the glass, flows at even lower temperatures between 850oC
and 950oC. The smaller phosphorus content in BPSG reduces the severity
of aluminum corrosion in the presence of moisture. Si3N4 is a barrier to Na
diffusion, is nearly impervious to moisture, and has a low oxidation rate. The
local oxidation of silicon (LOCOS) process also uses Si3N4 as a mask. The
patterned Si3N4 will prevent the underlying silicon from oxidation but leave
the exposed silicon to be oxidized. Si3N4 is also used as the dielectric for
DRAM MOS capacitors when it combines with SiO2.
Polycrystalline silicon better known as poly-silicon is used as a gate electrode
material in MOS devices, a conducting material for multi-level metallization
and a contact material for devices having shallow junctions. Poly-silicon
can be undoped or doped with elements such as As, P, or B to reduce
242 Chapter 8 Film Deposition: Dielectric, Polysilicon and Metallization
Physical vapor deposition (PVD) technology fall into three typical classes.
(1) Evaporation
(2) Sputtering
(3) Molecular beam epitaxy (MBE).
We have already discussed MBE in detail in chapter 2 so here we will focus on
Evaporation and sputtering methods.
8.2.1 Evaporation
Evaporation is one of the oldest techniques for depositing thin films. A vapor
is first generated by evaporating a source material in a vacuum chamber and
then transported from the source to the substrate and condensed to a solid
film on the substrate surface as shown in figure 8.1. The wafers are loaded
into a high vacuum chamber that is commonly pumped with either a diffusion
pump or a cryo pump. Diffusion-pumped systems commonly have a cold
trap to prevent the back streaming of pump oil vapors into the chamber. The
charge, or material to be deposited, is loaded into a heated container called the
crucible. It can be heated very simply by means of an embedded resistance
heater through external power supply. As the material in the crucible becomes
hot, the charge gives off a vapor. Since the pressure in the chamber is much
less than 1 m.torr, the atoms of the vapor travel across the chamber in a straight
line until they strike a surface, where they accumulate as a film. Evaporation
systems may contain up to four crucibles to allow the deposition of multiple
layers without
Wafer
Vacuum Charge
Chamber
Crucible
Vent gas
Cold trap
Roughing
Pump Diffusion Pump
Backing
Pump
Fig. 8.1 Schematic of evaporation system containing diffusion pump and wafer
containing chamber
244 Chapter 8 Film Deposition: Dielectric, Polysilicon and Metallization
8.2.2 Sputtering
Sputtering, unlike evaporation, is very well controlled and generally applicable
to all materials such as metals, insulators, semiconductors, and alloys film
deposition in microelectronic fabrication. It has a better step coverage than
evaporation induces far less radiation damage than electron beam evaporation
and is much better at producing layers of compound materials and alloys.
These advantages made sputtering the metal deposition technique of choice
for most silicon-based technologies until the advent of copper interconnect.
Sputtering involves the ejection of surface atoms from an electrode surface by
momentum transfer from the bombarding ions to the electrode surface atoms.
The generated vapor of electrode material is then deposited on the substrate.
A simple sputtering system, as shown in figure 8.2 is very similar to a simple
reactive ion etch system a parallel-plate plasma reactor in a vacuum chamber.
In a sputtering application, however, the plasma chamber must be arranged so
that high energy ions strike a target containing the material to be deposited.
The target material must be placed on the electrode with the maximum ion
flux. To collect as many of these ejected atoms as possible, the cathode and
anode in a simple sputtering system are closely spaced, often less than 15
cm. An inert gas is normally used to supply the chamber. The gas pressure in
DC/RF
V RF Voltage
MV
DC Voltage Probe
Oscilloscope
Target
Cryo
Pump
Shutter
Substrate
Mechanical
Pump
Vacuum
Gas supply
Cooling Heating Chamber
DC/RF/ground
the chamber is held at about 0.1 torr. This results in a mean free path of
order hundreds of mm. Due to the physical nature sputtering can be used for
depositing a wide variety of materials. In the case of elemental metals, simple
DC sputtering is usually favored due to its large sputter rates. When depositing
insulating materials such as SiO2, an RF plasma must be used.
reactor design simple and allows high deposition rates. However, the
technique is susceptible to gas-phase reactions and the films typically
exhibit poor step coverage. Since APCVD is generally conducted in the
mass-transport-limited regime, the reactant flux to all parts of the every
substrate in the reactor must be precisely controlled. Figure 8.3 shows the
schematic of three typical APCVD reactors.
Heater
(a)
Film Wafer
Moving belt
(b)
Reactant gases
Inert gas
Plenum
Heater
(c)
Fig. 8.3 Schematic of three typical APCVD reactors (a) horizontal tube (b) Gas
injection type continuous process (c) Plenum type continuous processing
Figure 8.4 shows a typical commercial PECVD system. Rather than relying solely
on thermal energy to sustain the chemical reactions, PECVD systems uses an
RF-induced glow discharge to transfer energy into the reactant gases, allowing
the substrate to remain at a lower temperature than that in APCVD and LPCVD.
PECVD thus allows the deposition of films on substrates that do not have the
8.3 Chemical Vapor Deposition (CVD) 247
thermal stability. In addition, PECVD can enhance the deposition rate as compared
with thermal reactions alone and can produce films of unique compositions and
properties. However, the limited capacity, especially for large-diameter wafers,
and possibility of particle contamination by loosely adhering deposits may be
major concerns.
Pressure sensor
Graphite boat
Gas in Pressure switch
Air
operating
View vacuum
Class valve
Gas Pressure
in control
Furnace Particulate valve
control filter
A
Roots
Manifold
blower Exhaust
AFCs
SiH4 N2 NH3 DOP
Vane
B pump
Manifold
C2F6 O2 N 2O Bal AFCs
RF generator
PECVD reactors are three general types: (1) parallel plate, (2) horizontal tube,
and (3) single wafer. In the parallel plate reactor depicted in figure 8.5(a), the
electrode spacing is typically 5 to 10 cm and the operating pressure is in the
range of 0.1 to 5 torr. In spite of the simplicity, the parallel plate system suffers
from low throughput for large-diameter wafers. Moreover, particulates flaking
off from the walls or the upper electrode can fall on the horizontally positioned
wafers.
A horizontal PECVD reactor resembles a hot-wall LPCVD system consisting
of a long horizontal quartz tube that is radiantly heated. Special long rectangular
graphite plates serve as both the electrodes to establish the plasma and holders
of the wafers. The electrode configuration is designed to provide a uniform
plasma environment for each wafer to ensure film uniformity. These vertically
oriented graphite electrodes are stacked parallel to one another, side by side,
with alternating plates serving as power and ground for the RF voltage. The
plasma is formed in the space between each pair of plates.
248 Chapter 8 Film Deposition: Dielectric, Polysilicon and Metallization
Electrode
Wafer
Gas inlet
Heater
Gas outlet
(a)
Quartz window
Radiant heater
Wafer
Reactor
To RF source
Wadee-cooled chamber
RF electrode
(b)
Fig. 8.5 Schematic diagram of PECVD reactors (a) Parallel plate type
(b) single wafer type
Safety Issues
Most of the gases used for film deposition are toxic and these haaardous gases
can also cause reactions with the vacuum pump oil. These hazardous gases
can be divided into four general classes, pyrophoric (flammable or explosive),
poisonous, corrosive, and dangerous combinations of gases. Gases commonly
used in CVD are listed in Table 8.2. Gas combinations such as silane with
halogens, silane with hydrogen, and oxygen with hydrogen will cause safety
problems. In addition, silane reacts with air to form solid products causing
8.4 Silicon Dioxide 249
particle contamination in the gas lines. These particles can plug the pipes and
perhaps create combustion.
offers good uniformity and step coverage, but the high temperature limits its
application on aluminum interconnects.
Silicon can also be deposited by LPCVD at about 900°C by reacting
dichlorosilane with nitrous oxide:
SiCl2H2 (g) + 2N2O (g) SiO2 (s) + 2N2 (g) + 2HCl (g)
This deposition technique provides excellent uniformity, and like LPCVD
TEOS, it is employed to deposit insulating layers over polysilicon. However,
this oxide is frequently contaminated with small amounts of chlorine that may
react with polysilicon causing film cracking.
PECVD requires the control and optimization of the RF power density,
frequency, and duty cycle in addition to the conditions similar to those of an
LPCVD process such as gas composition, flow rate, deposition temperature,
and pressure. Like the LPCVD process at low temperature, the PECVD process
is surface-reaction-limited, and adequate substrate temperature control is thus
necessary to ensure film thickness uniformity.
By reacting silane and oxygen or nitrous oxide in plasma, silicon dioxide films
can be formed by the following reactions.
SiH4 (g) + O2 (g) SiO2 (s) + 2H2 (g)
SiH4 (g) + 4N2O (g) SiO2 (s) + 4N2 (g) + 2H2O (g)
This type of step coverage is thin along the vertical walls and may have a crack
at the bottom of the step caused by self-shadowing. Figure 8.6(c) depicts the
situation where there is minimal surface mobility and the mean free path is
short. Here the arrival angle at the top of the step is 270o, thus giving a thicker
deposit. The arrival angle at the bottom of the step is only 90o, and so the film
is thin. The thick cusp at the top of the step and the thin crevice at the bottom
combine to give a re-entrant shape that is particularly difficult to cover with
metal.
Doped oxides used as diffusion sources contain 5 to 15 % wt. of the dopant.
Doped oxides for passivation or interlevel insulation contain 2 to 8%
wt. phosphorus to prevent the diffusion of ionic impurities to the device.
Phosphosilicate glass (PSG) used for the reflow process contains 6 to 8% wt.
phosphorus. Oxides with lower phosphorus concentrations will not soften and
flow, but higher phosphorus concentrations can give rise to deleterious effects
for phosphorus can react with atmospheric moisture to form phosphoric acid
which can consequently corrode the aluminum metallization. The addition of
boron to PSG further reduces the reflow temperature without exacerbating
Film
(a)
Film
z
(b)
Film
(c)
Fig. 8.6 Step coverage of deposited films. (a) Uniform coverage resulting from rapid
surface migration (b) Nonconformal step coverage for long mean free path and no
surface migration (c) Non conformal step coverage for short mean free path and no
surface migration
252 Chapter 8 Film Deposition: Dielectric, Polysilicon and Metallization
(a) (b)
(c) (d)
Fig. 8.7 SEM photographs (3200x) showing surfaces of 4.6 wt % P-glass annealed in
steam at 1100°C for the following times (a) 0 min; (b) 20 min; (c) 40 min; (d) 60 min.
P-Glass (a)
Poly-Si
Resist
(b)
P-Glass
Poly-Si
(c)
Poly-Si P-Glass
Fig 8.8 Schematic representation of the planarization process step covered with
P-glass (b) Coated with resist (c) After leaving a smooth P-glass surface.
8.5 Silicon Nitride 253
the organic resist material has a low viscosity, reflow occurs during application
or the subsequent bake. The sample is then plasma etched to remove all the
organic coating and part of the PSG, as long as the etching conditions are
selected to remove the organic material and PSG at equal rates.
Silicon Wafer
Thermal Oxidation
(b)
Oxide
“Bird’s beak”
Nitride Removal
(c)
Oxide
The purpose of the thin pad oxide layer under the nitride is to reduce the stress
that occurs in the silicon substrate during oxidation. This stress is due to the
mismatch of the thermal expansion coefficients of the substrate and the nitride
and due to the volumetric increase of the growing oxide. At high temperature,
viscous flow of the oxide greatly reduces the stress. A great deal of work has
gone into optimizing the thicknesses of the oxide and nitride layers. If the stress
exceeds the yield strength of silicon, it will generate dislocations in the substrate.
A thicker pad oxide will lower the stress in the substrate. The minimum pad
oxide thickness that can be tolerated without dislocation formation is about
one-third the thickness of the nitride. This defect protection must be traded
off against increased lateral encroachment of the oxide which occurs due
to lateral diffusion of the oxidizing species through the pad oxide. A nitride to
thermal pad oxide thickness ratio of 2.5:1 produces a lateral encroachment or
bird,s beak, approximately equal to the thickness of the field oxide.
One concern of the LOCOS process is the white ribbon or Kooi nitride effect.
In this situation, a thermal oxynitride forms at the surface of the silicon under
the edges of the nitride pad White ribbon is caused by the reaction of Si3N4
with the high temperature wet ambient to form NH3, which diffuses to the
Si/SiO2 interface where it dissociates. When the effect is severe, the surface
texture caused by these nitrides can be seen as a white ribbon around the
edges of the active area. This defect leads to a reduced breakdown voltage
in subsequent thermal oxides (such as gate oxides) in the active region. The
existence of the bird’s beak has two important consequences from a device
256 Chapter 8 Film Deposition: Dielectric, Polysilicon and Metallization
standpoint often the active region defines the edge of the device in at least one
direction. Then encroachment reduces the active width of the device, reducing
the amount of current that a transistor will drive. A more subtle effect is due to
the field doping. The field oxidation causes the field implant to diffuse into the
edge of the active region.
8.6 POLYSILICON
Polysilicon is deposited by pyrolyzing silane between 575oC and 650oC in a
low pressure reaction:
SiH4 (g) Si (s) + 2H2 (g)
Either pure silane or 20 to 30% silane in nitrogen is bled into the LPCVD system
at a pressure of 0.2 to 1.0 torr. For practical use, a deposition rate of about
10 to 20 nm/min is required. The properties of the LPCVD polysilicon films
are determined by the deposition pressure, silane concentration, deposition
temperature, and dopant content.
Amorphous silicon can be prepared by the glow discharge decomposition of
silane. Processing parameters such as deposition rate are affected by deposition
variables such as the total pressure, reactant partial pressure, discharge
frequency and power, electrode materials, gas species, reactor geometry,
pumping speed, electrode spacing, and deposition temperature. The higher the
deposition temperature and RF power, the higher is the deposition rate.
Polysilicon can be doped by adding phosphine, arsine, or diborane to the
reactants (in-situ doping). Adding diborane causes a large increase in the
deposition rate because diborane forms borane radicals, BH3, that catalyze gas-
phase reactions and increase the deposition rate. In contrast, adding phosphine
or arsine causes a rapid reduction in the deposition rate, because phosphine or
arsine is strongly adsorbed on the silicon substrate surface thereby inhibiting
the dissociative chemisorption of SiH4. Despite the poorer thickness uniformity
across a wafer when dopants are incorporated, uniformity can be maintained
by controlling precisely the flow of reactant gases around the samples.
Polysilicon can also be doped independently by other methods figure 8.10
shows the resistivity of polysilicon doped with phosphorus by diffusion,
ion implantation, and in-situ doping. The dopant concentration in diffused
polysilicon often exceeds the solid solubility limit, with the excess dopant
atoms segregated at the grain boundaries. The high resistivity observed for
lightly implanted polysilicon is caused by carrier traps at the grain boundaries.
Once these traps are saturated with dopants, the resistivity decreases rapidly
and approaches that for implanted single-crystal silicon.
8.7 Metallization 257
104
Resistivity (p-cm)
102
100
600°C
10–2 700°C
800°C
900°C
10–4
1000 1100 1016 1018 1020 10–4 10–2
Temperature p-Concentration PH3/SiH4
(°C) (cm–3)
8.7 METALLIZATION
A number of conductors such as Cu, Al and W etc, are used for fabrication
of semiconductor devices. Metal with high conductivity is widely used for
interconnection forming microelectronic circuit. Metallization is a process of
adding a layer of metal on the surface of wafer. Metal such as Cu and Al
are good conductors and they are widely used to make conducting lines to
transport electrical power and signal. Miniature metal lines connect million of
transistors made on the surface of semiconductor substrate. Metallization must
have low resistivity for low power consumption and high integrated circuit
speed, smooth surface for high resolution patterning process, high resistance
to electro-migration to achieve high device reliability, and low film stress
for good adhesion to underlying substrate. Other characteristics are stable
mechanical and electrical properties during subsequent processing, good
corrosion resistance, and relative receptivity to deposit and etch. It is important
258 Chapter 8 Film Deposition: Dielectric, Polysilicon and Metallization
Mi Cu Cu Cu
FSG
FSG
PSG W
STI n+ n+ USG p+ p+
p-Well N-Well
p-epi
p-wafer
time constant of the line varies with silicon dioxide as the dielectric material
follows equation (8.2).
pLine LLineeor
RC = . ... (8.2)
dLine dor
Where pline is the resistivity of the line material dLine is the thickness of line
LLine is the length of the line dox is the thickness of oxide, and eox permittivity
of oxide.
The desired properties of the metallization for integrated circuit are as follows.
• Low resistivity
• Easy to form
• Easy to etch for pattern generation
• Should be stable in oxidizing ambient oxidizable
. Mechanical stability; good adhersion and low stress
• Surface smoothness
• Stability throughout processing, including high temperature sinter
• Dry and wet oxidation, gettering, phosphorous glass (or other material)
passiviation, metalliaation, no reaction with final metal
• Should not contaminate device, wafer, or working equipment
• Good device characteristics and lifetime
• For window contact-low contact resistance, minimal junction
penetration, low electromigration.
of the IC’s. Thus, a barrier metal such as tantalum needs to be deposited before
depositing copper. Copper is very hard to dry etch because copper-halogen
compound has very low volatility. Copper also has issue of ansiotropicity due
to lack of an effective dry etch method. It’s an hindrance for the use of copper
as common interconnects material for IC’s fabrication.
Al Al Al
SiO2
p+ p+
n-type silicon
Grain
Electron Flow
Grain
Electron Flow
Grain
Electron Flow
Electromigration can cause serious problem for aluminum lines. When some
grains begin to move due to electron bombardment, they damage the metal
line. At some points, they cause higher current density at these points. This
aggravates the electron bombardment and causes more aluminum grain.
High current and high resistance would generate heat and eventually cause
breaking of aluminum line. Thus, electromigration can affect the reliability
of microelectronic devices. Adding a small percentage of copper 0.5% wt. to
aluminum can significantly improve the resistance of aluminum migration.
This is because the copper atom is large and it can hold aluminum grains
preventing migration due to electron bombardment.
8.12 Metallization Processes 265
Beltyon
Cryotrap
Substrates
Exhaust
High
vacuum
Throttle Force
valve
valve pump
Backfill
or sputter
gas Roughing pump
Metallization Patterning
Once the thin-film metalliaation has been done the film must be patterned
to produce the required interconnection and bonding pad configuration.
This is done by a photolithographic process of the same type that is used for
producing patterns in SiO2 layers. Aluminium can be etched by a number of
acid and base solutions including HCl, H3PO4, KOH, and NaOH. The most
commonly used aluminium etchant is phosphoric acid with the addition of
small amounts of HNO3 and CH3COOH, to result a moderate etch rate of about
1 mm/m at 50°C. Plasma etching can also be used with aluminium.
Photoresist
8.15 LIFTOFF PROCESS
The liftoff process is an alternative
metallization patterning technique. In Wafer
this process a positive photoresist is spun
on the wafer and patterned using the
Film
standard photolithographic process. Then deposition
the metalliaation thin film is deposited Photoresist
on top of the remaining photoresist. The
wafers are then immersed in suitable
solvent such as acetone and at the same
Wafer
time subjected to ultrasonic agitation. This
causes swelling and dissolution of the
photoresist. As the photoresist comes off it Liftoff
liftsoff the metallization on top of it, for the photoresist
where the final material is to appear. The thin film layer is deposited over the
surface of the wafer. Any material deposited on top of the photoresist layer
will be removed with the resist, leaving the patterned material on the substrate.
For liftoff to work properly, there must be a very thin region or a gap between
the upper and lower films, otherwise tearing and incomplete liftoff will occur.
Second-level
Via
metal
Interlevel dielectric
SiO2 SiO2
n+
First-level
metal
Planarized Metallization
The topology that results from the simple multilayer interconnect process
of figure 8.16 simply cannot be utiliaed in submicron processes because of
the depth of field limitations in the lithographic processes. The Chemical
Mechanical Planarization (CMP) process is used to achieve highly planar
layers. In the process flow shown in figure 8.17 via filling technique is used to
form the via between metal layers.
Filled
via
Second-level metal
Planarizing
dielectric
SiO2 SiO2
n+
First-level
metal
of an opaque thin film such as aluminum, titanium, titanium nitride, and copper,
which usually needs to be performed on test wafer in a destructive way until the
introduction of the acoustic measurement method. The metal film needs to be
removed and its thickness either measured by Scanning Electron Microscope
(SEM) or by measuring the step height with profilometer. Energetic electron
beam scans across the metal film creates secondary electron emission from the
metal sample. By measuring the intensity of the secondary electron emission,
the thickness can be known from the image of secondary electron emission.
It is also known that the different metal will have different rate of emission of
secondary electron. SEM method can also detect void in the metal film.
Profilometer measurement can provide information pertaining the thickness
and uniformity for film thicker than 1,000 A. The pattern of metal is required
to be deposited before it is being measured by stylus probe of profilometer as
shown in figure 8.18.
Holder
Stylus
Metal film
Substrate
Stage
(a)
(b)
Fig. 8.18 (a) Schematic of stylus profilometer and (b) the profile of thickness
27 26 49
2 6 28 48
29 10 47
30 1211 2524 46
2 31 13 3 2 9 23 45
32 14 4 1 8 22 44
3 1 5 7 3 1 5 9
33 15 5 6 7 21 43
34 16 20 42
4 35 17 18 19 41
36 40
4 8 37 38 39
Fig. 8.19 The mapping pattern of wafer with (a) point measurement, (b) 9-point
measurement, and (c) 49-point measurement
The more measurement points taken the more precision can be achieved. In the
industry, 5-point and 9-point measurements are commonly used to save cost
and time. The 49-point and three sigma (36) standard deviations is the most
common defined criteria for qualification process of semiconductor industry.
Substrate Substrate
from the plasma with much force, they are squeezed densely together while
forming the film. This type of film would expand but it is being compressed by
the substrate and this type of stress is the compressive type. Higher deposition
temperature increases the mobility of the atoms, which in turn increases the
film density and causes less tensile stress. Intrinsic stress is also related with
wafer temperature change and different thermal expansion coefficient of the
thin film and substrate. The thermal expansion coefficient of aluminum is 23.6
× 10-6k-1 and thermal expansion coefficient of silicon is 2.6x10–6 k–1. When
an aluminum film is deposited at high temperature of 250 oC on Si-substrate
at high temperature, upon cooling down aluminum shrinks more than silicon.
This would result tensile stress on aluminum thin film by the silicon substrate.
10-14 F
( 5x10 -2
cm ) x ( 6.5x10-5 cm ) x 3.9 x 8.84 x
cm
Cw–s = 2 x = 90 fF
2.5x10-5 cm
For the copper wire,
Cw-w= 55 fF
8.18 SUMMARY
In this chapter, the process modules of device isolation, contact formation,
and interconnection were presented. The simplest isolation techniques
involve junction isolation. Various LOCOS-based methods have been widely
used, but they suffer from lateral encroachment and incomplete isolation at
small junction separations. Trench-based methods have become popular for
submicron technologies.
For GaAs technologies, nearly all device isolation is accomplished with semi-
insulating substrates.
Conducting islands can be created via proton implantation or mesa etching.
Contacts are divided into rectifying and ohmic. For rectifying contacts, the
barrier height is a sensitive function of the nature of the metal/semiconductor
interface. For ohmic contacts, achieving a low contact resistivity requires a
large doping concentration at the metal/semiconductor interface.
Most silicon technologies achieve heavy doping by implantation. Self-aligned
silicides (salicides) have been developed to reduce the series resistance of
shallow junctions in silicon. Many GaAs technologies use alloyed contacts,
sometimes with an implantation, to achieve acceptably low specific contact
resistivity’s. High performance interconnect requires the use of low resistivity
metal on top of low capacitance dielectrics. Aluminum alloys are the most
widely used for silicon-based technologies, although copper is now beginning
to replace it. Gold is generally used for GaAs technologies. CVD SiO2 is the
most commonly used dielectric, although lower permittivity films such as
polyimide are being developed for future applications.
PROBLEMS
1. An advanced metallization process is proposed for high density
silicon-based ICs. This process will use several new materials. Identify
one advantage and one disadvantage for each new material: (a) CVD
tungsten, (b) electroplated copper, and (c) spin-on polyimide.
276 Chapter 8 Film Deposition: Dielectric, Polysilicon and Metallization
REFERENCES
1. J.D. Pummer, M.D. Del, and Peter Griffin, "Silicon VLSI Technology:
Fundamentals, Practices, and Modeling”, Prentice Hall, (2000).
2. Hong Xiao, “Introduction to Semiconductor Manufacturing Technology,”
Pearson Prentice Hall, (2001).
3. Debaprasad Das, “VLSI Design,” Oxford University Press, (2011).
4. P.B. Ghate, “Electromigration Induced Failures in VLSI Interconnects,” Proc.
IEEE 20th Int. Rel. Phys. Symp., 292 (1982).
5. J.M. Towner and E.P. van de Ven, “Aluminum Electromigration Under Pulsed
D.C. Conditions,” 21stAnnu. Proc. Rel. Phys. Symp., 36 (1983).
6. J.A. Maiz, “Characterization of Electromigration Under Bidirectional (BDC)
and Pulsed Unidirectional Currents,” Proc. 27th Int. Rel. Phys. Symp., 220
(1989).
7. S. Vaidya, T.T. Sheng, and A.K. Sinha, “Line Width Dependence of
Electromigration in Evaporated Al-0.5%Cu," Appl. Phys. Lett. 36:464 (1980).
8. T. Turner and K. Wendel, "The Influence of Stress on Aluminum Conductor
Life,” Proc. IEEE Int. Rel. Phys. Symp., 142 (1985).
9. H. Kaneko, M. Hasanuma, A. Sawabe, T. Kawanoue, Y. Kohanawa, S.
Komatsu, and M. Miyauchi, “A Newly Developed Model for Stress Induced
Slit-like Voiding,” Proc. IEEE Int. Rel. Phys. Symp., 194 (1990).
10. K. Hinode, N. Owada, T Nishida, and K. Mukai, “Stress-Induced Grain
Boundary Fractures in Al-Si Interconnects,” J. Vacuum Sci. Technol. B 5:518
(1987).
References 277
9.1 INTRODUCTION
Packaging is the second last stage and testing is the last stage of semiconductor
device fabrication. In the semiconductor industry it is known as simply
packaging and sometimes as semiconductor device assembly. Packaging
is also known as encapsulation, because the term packaging generally
comprises the steps or the technology of mounting and interconnecting of
devices. In evolution days of integrated circuits ceramic flat packs, were
used by the military for their reliability and small size. Dual in-line Package
(DIP) was the first successful commercial package available first in ceramic
and later in plastic. Around 1980s pin numbers of VLSI circuits exceeded
the limit for DIP packaging. This limitation leads to pin grid array (PGA).
Surface mount package known as SMD or SMT appeared and became
widespread in the 1985s. SMT occupies an area about 40-50% less than
a corresponding DIP, and thickness that is 70% less. Then semiconductor
industry witness Plastic leaded chip carrier (PLCC) packages. In the late
1995s, plastic quad flat pack (PQFP) and thin small-outline packages (TSOP)
became the most common for high pin count devices.
Intel and AMD have transitioned from PGA packages to land grid array
(LGA) packages on high performance processors. Ball grid array (BGA)
packages were invented in 1970s. 1990 witness Flip-chip Ball Grid Array
packages (FCBGA). FCBGA permitted higher pin count than other package
types available that time. In this package the die is mounted upside-down
(flipped) and connects to the package balls via a package substrate.
FCBGA packages allow an array of input-output signals to be distributed
over the entire die. Traces out of the die, through the package, and into the
280 Chapter 9 Packaging
Single side
Through hole-
mount
Dual side DIP (Dual inline package)
Surface mount
Hermetic-ceramic packages
CER DIP
Dual side
Through-hole DIP
mount
Al wires
Die
Seal ring
Trace
Metal lead
Bond wire
Die
Die Support
Paddle
Spot plate
Lead frame (lead frame/paddle
area shaded)
force behind the cheaper plastic package. A variety of surface mount plastic
packages such as SOJ, SOP and thin SOP. TSOP has been developed successfully
for industrial use. These plastic packages are manufactured with 2 mm thick
body except TSOP. For compact application, TSOP packages are designed with
1 mm thickness. The chip occupancy is growing continuously and the required
stringent norms have led to considerable changes in package structures. In the
lead-on-chip structure, wire interconnection within the package are made above
the die circuitry. This is remarkable achievement. The traditional packages
for older-generation devices, are shown in figure 9.2 and figure 9.3. In these
packages interconnection is made only outside the die area.
9.3 PACKAGING DESIGN CONSIDERATIONS
Integrated circuit package plays a vital role in the working and efficiency of
a component. Package brings electrical signal and voltage supply via wires
in and out of the silicon die. it also helps in getting rid of heat generated by
the circuit. Package provides mechanical support to strengthen the integrated
circuit. It also guards the integrated circuit against extreme environmental
conditions like heat and humidity. Also the package left major impact on the
power dissipation and efficiency of the integrated circuit like the processor and
digital signal processor. This effect is getting more noticeable as technology
scaling down is advancing due to reduction of internal signal delays and on-
chip parasitic capacitance. Packaging delay are the reason for 50% of the
delay in a high-performance computer. These delays are caused by capacitive
and inductive parasitic from packing material. With continuous scaling in
integrated circuit there is a need for ever more input-output pins. This is
because the number of connections is directly proportional to the complexity
of the circuitry. E. Rent of IBM, developed an empirical formula known as
Rent’s rule to demonstrate this relationship. This Rent rule relates the number
of input/output pins (P) to the complexity of the circuit. Complexity is
measured by the number of components.
P = kGb ... (9.1)
where k = average number of Inputs/Outputs per component
G = Number of gates. Its value varies from 0.1 and 0.8.
The value of b and k depends on the architecture, circuit organiaation and
application area. This is shown in table 9.1
Chip/System b K
Static Memory 0.12 6.00
Gate Array 0.50 1.90
284 Chapter 9 Packaging
Chip/System b K
Microprocessor 0.45 0.80
High Speed Computer Circuit 0.25 82.0
High Speed Computer Chip 0.60 1.45
From this table it is clear that microprocessors possess very different input/
output behavior compared to static memory. The observed rate of pin-count
increase for IC varies between 9% to 12% per year. Researchers have projected
that packages with more than 3,000 pins will be required by the year 2020 end.
For all or some reasons, conventional DIP, through-hole mounted packages
have been replaced by newer approaches like multichip module, surface-mount
and ball grid array techniques. The circuit designer must be aware of the all
available options, and their merits and demerits. Having its multi-functionality,
a efficient package must own with a large variety of specifications namely the
thermal, electrical, thermal, mechanical and cost requirements.
DIP Package
The most common package is DIP (Dual in-line) package. This is now
conventional package type. It is type of through-hole IC package. These
integrated circuit chips have two parallel rows of pins. The pins in two rows
extend perpendicular out of a rectangular, black color, plastic housing. Some
DIP packages are shown in figure 9.4.
In a dual in line package two pins are kept 0.1” (2.54 mm) apart. This is a
standard spacing. It has proved perfect for fitting into breadboards. The pin
count decides the overall dimensions of a DIP package. This package may
have pins anywhere from 4 to 64.
Apart from being used in breadboards, DIP ICs can also be soldered into
printed circuit board. They’re inserted from one side of the board and
soldered on the opposite side of board. Instead of soldering directly to the IC,
using a socket for the chip is good idea. With sockets DIP IC can be removed
and swapped out easily.
The three variations Thin QFN, very thin QFN and micro-lead QFN packages
are smaller variations of the QFN package. Another package type available,
has pins on just two sides. These are
• dual no-lead (DFN) package
• thin-dual no-lead (TDFN) packages.
Now a day many microcontrollers, sensors, and other modern ICs are available
in QFP or QFN packages. For example, AT mega 328 microcontroller from
Atmel is available in both a TQFP and a QFN-type (MLF) package. While
the MPU-6050 comes in a miniscule QFN package.
Fig. 9.9 Generic assembly sequence for ceramic and plastic packages.
3. Die Bonding: In Die Bonding process the silicon chip is attached with
die pad of the supporting structure. For attaching silicon chip adhesives
material such as polyimide, epoxy and silver-filled glass are used.
While in another die attach method, a eutectic alloy is utilized to attach
the die to the cavity or pad. The Au-Si alloy is the most preferable
material for this. This method is shown in figure 9.11.
elmBE
die
fillet
die pad
bond line
Capillary
Inner
Ball Lead
Die
Table 9.2 Summary of Intel’s Package I/O Lead Electrical Parasitics for Multilayer
Packages
9.6 YIELD
The manufacturer of integrated circuit is ultimately interested in how many
finished chips will be available for sale. A substantial fraction of the dice on a
giver wafer will not be functional when they are tested at the wafer probe step at
the end of the process. Additional dice will be lost during the die separation and
packaging operations, and a number of the packaged devices will fail final testing.
The cost of packaging and testing is substantial and may be the dominant
in the manufacturing cost of small die. For a large die with low yield, the
manufacturing cost will be dominated by the wafer processing cost. A great
deal of time has been spent attempting to model wafer yield associated with
IC processes. Wafer yield is related to the complexity of the process and is
strongly dependent on the area of the IC die.
Yield is a important parameter in chip fabrication. If one makes thousand
chips and if only nine hundred out of the one thousand chips pass all the tests,
then the yield will be 90%. Preferably, everyone wants to get 100% yield. But
usually, for memory chips, the yield rate is larger than 95% and for processor
chips, the yield rate is in range of 60 to 80% assuming that the process and
design are reasonable. The following parameters are important to analyze
yield performance.
292 Chapter 9 Packaging
13
Y= = 22%
52 60
Y= = 43%
120
D0A = 2
D 0A = 1
(a) (b)
Fig. 9.15 Wafers showing effect of die size on yield. Dots indicates the presence of
effective die location (a) For a particular die size yield is 43% (b) if die size is doubled
yield becomes 22%
An estimate of the yield of good die can be found from a classical problem in
probability theory in which n defects are randomly placed in N die sites. The
probability Pk, that a given die site contains exactly k defects is given by the
binomial distribution:
n! n-k
Pk = N -n ( N -1) ... (9.2)
k !(n - k )!
9.7 Summary 293
9.7 SUMMARY
Following the completion of processing, wafers are screened by checking
various processing and device parameters using special test sites on the wafer.
If the parameters are within proper limits, each die on the wafer is tested for
functionality.
Next, the dice are separated from the wafer with a diamond saw or a scribe and
break process. Some die loss is caused by damage during the separation process.
The remaining good dice are mounted in ceramic or plastic DIPs, LCCs, PGAs,
SMDs or BGA package using epoxy or eutectic die-attachment techniques.
Bonding pads of the die are connected to leads on the package using ultrasonic
or thermosonic bonding of 15 to 75 μm aluminum or gold wire. Batch
fabricated flip chip and TAB interconnection process that permit simultaneous
formation of hundreds or even thousands of bonds can also be used.
The final manufacturing cost of an integrated circuit is calculated by the unit
of functional parts produced. The overall yield is the ratio of the number of
working packaged dice to the original number of dice on the wafer. Yield loss
is due to defects on the wafer, processing errors, damage during assembly, and
lack of full functionality during final testing. The larger the die siae, the lower
will be the number of good dice available from a wafer.
PROBLEMS
1. What is packaging? Write short note on package types.
2. What do you understand by DIP package? Explain in brief.
3. Write a detailed note on assembly technologies Explain significance of
each stage.
4. What are the Package types in VLSI? Describe packaging design
consideration in VLSI.
5. What is Assembly technique? Write the Applications also.
6. In a VLSI/ULSI design, how packaging is evaluated? Elaborate the
packaging design consideration.
REFERENCES
1. E. Suhir, “Calculated Thermally Induced Stresses in Adhesively Bonded and
Soldered Assemblies”, ISHM International Symposium on Microelectronics,
Atlanta, Georgia, (1986).
2. “Guidelines for Accelerated Reliability Testing of Surface Mount Solder
Attachments”, IPCSM-785, (1992).
294 Chapter 9 Packaging
10.1 INTRODUCTION
G. W. A. Dummar in 1952 recognized that electronic devices could be made
from the single layers of conducting, insulating, amplifying and rectifying
material. It was the first description in the integrated circuit development.
The first circuit was germanium transistor, resistors and capacitors formed
on a wafer.
A modern VLSI fabrication development might be considered to have
anywhere from 102 to 104 steps. Even transistors fabrication themselves
is very complex as well, and varies drastically from process to process.
Some examples of why fabrication step varies:
1. Will there be only a single type of PMOS transistor and a single type
of NMOS transistor in the IC? Very unlikely in a complex modern
design. If so, multiple lithography masks and multiple other steps
may be necessary to independently pattern and dope the different
types of devices.
2. Multigate devices like Graphene FET, G-MOSFET, FinFET
might involve a more complex development to manufacture than
traditional planar CMOS transistors.
3. In modern process technologies (e.g. 14-32 nm), the pitches of
transistor gates, contacts, and other features are much less than the
wavelength of light used to pattern them (typically 193 nm immersion
lithography).
296 Chapter 10 VLSI Process Integration
Si3N4
Oxide
Masking
Layer
Doped
Layer
Deposited
Layer
(a)
(b)
Fig. 10.1 Layers Formation in Silicon (a) uniform method (b) selective method
10.2 Fundamental Considerations for IC Processing 297
n – Si
(a)
The active region is formed by ion implanting boron into the silicon exposed
by the etching of the oxide as shown in figure 10.2(b)
B+
(b)
Thin SiO2
(c)
Al
SiO2
n – Si p
(d)
Si-substrate
SiO2 (Oxide)
Si-substrate
Photo resist
SiO2 (Oxide)
Si-substrate
UV light
Photo mask
Photo-resist Photo-resist
Substrate Substrate
Hardened
photoresist
SiO2 (Oxide)
Si-substrate
Thin oxide
SiO2 (Oxide)
Si-substrate
10.3 NMOS IC Technology 301
Thin oxide
SiO2 (Oxide)
Si-substrate
Polysilicon
SiO2 (Oxide)
Si-substrate
Polysilicon
Thin oxide
SiO2 (Oxide)
Si-substrate
Insulating
oxide
SiO2 (Oxide)
n+ n+
Si-substrate
Step 9:
Now metal generally aluminium is deposited over whole chip. Metal thickness
is generally kept at 1 μm. then this aluminum layer is masked and etched to
produce the interconnection pattern.
p+ diffusion
n+ diffusion
Contact cuts
Then devices and diffusion paths are defined, field oxide is grown, poly-
silicon is deposit and patterned, diffusions process is carried out, contact cuts
are made, and finally metallized.
Figure 10.5 shows an CMOS inverter fabrication. In first step a blank wafer of
Si is taken. This wafer is covered with a uniform layer of SiO2 using oxidation
process.
10.3 NMOS IC Technology 303
SiO2
p-substrate
Then the entire SiO2 layer is covered with a layer of photoresist material. At
this stage the material is highly insoluble. Now a mask is placed over substrate
covered by SiO2 layer and then by photoresist material. Now it is exposed to
UV light using the n-well mask. (Photolithography).
Photoresist
SiO2
p-substrate
p-substrate
p-substrate
p-substrate
n-well
p-substrate
Deposit thin layer of oxide. Use CVD to form poly and dope heavily to increase
conductivity
Poly-silicon
Thin gate oxide
n-well
p-substrate
Then the entire surface is covered by a thin layer of oxide. This layer is
deposited to produce n diffusion regions.
n-well
p-substrate
n-well
p-substrate
n+ n+ n+
n-well
p-substrate
Using etching process the oxide layer is removed to complete patterning step.
n+ n+ n+
n-well
p-substrate
p+ n+ n+ p+ p+ n+
n-well
p-substrate
Cover chip with thick field oxide and etch oxide where contact cuts are needed
p+ n+ n+ p+ p+ n+
n-well
p-substrate
SiO2
1.
p-well (4-5 mm)
p
n
Poly-silicon
2.
Thin oxide and
p
n poly-silicon
p-diffusion
p+ mask
3. (positive)
p
n
4.
p
n
n+ p+ p+ n+ n+ p+
p-well
n-substrate
In most respect, the fabrication step such as masking, patterning, diffusion are
similar to pMOS fabrication. The fabrication step are defined in eight step
from M1 to M8.
M1: This is mask 1. In this deep p-well diffusion are produced in the region.
M2: Defines the thin oxide regions. In this thin oxide is grown to
accommodate wires, n-type transistors and p-type transistors whereas
thick oxide is stripped off.
M3 At this step poly-silicon layer is patterned. This layer is deposited after
the thin oxide.
M4: All areas where p-type diffusion is to produced, a p+ is used.
M5: In this step n-type diffusion is produced. Negative form of p+ mask is
used to obtain this.
M6: Contact cuts are now defined.
M7: This mask defines the metal layer pattern.
M8: Here openings are created for bonding pads. For this a passivation
(overglass) layer is now deposited.
n-well p-well
n-diffusion p-diffusion
A high dose of n-type dopant is used to form a buried layer. Typically, n-type
dopant of phosphorous is used. Either CVD or epitaxial deposition is used, a
silicon layer is deposited on the entire surface.
n+ buried layer
The epitaxial layer so grown forms the collector region of transistor. The
highly doped (with low resistivity) buried layer forms an equipotential region.
This buried layer is now entirely enclosed in silicon material. Then a very
heavy p-type doping is used to form p+ isolation regions.
n-epitaxial layer
n+ buried layer
p-type substrate
The junction formed between the n-type epilayer and p+ implant provides
electrical isolation.
308 Chapter 10 VLSI Process Integration
p+ iso p+ iso
n-epitaxial layer
n+ buried layer
p-type substrate
To produce p-type base, the p-type dopant is diffused into the epilayer.
Generally boron is used as implant.
p base
p+ iso n+ p+ iso
n-epitaxial layer
n+ buried layer
p-type substrate
n+ buried layer
p-type substrate
After creating the n+ emitter region in the p-base, the basic BJT structure is
complete. Now only metal contacts remain to be form.
deposited oxide
p base n+
p+ iso n+ p+ iso
n-epitaxial layer
n+ buried layer
p-type substrate
p base n+
p+ iso n+ p+ iso
n-epitaxial layer
n+ buried layer
p-type substrate
After this a small opening is made on the oxide layer. This opening is used to
introduce n-type impurities.
P-substrate
The entire layer is covered again with the oxide layer. Now two openings
are made through this oxide layer. Here two n-well are required. From these
two openings in oxide layer, the n-type impurities are diffused. This diffused
impurities forms n-well.
P-substrate
To form the three active devices, openings are made via oxide layer. Entire
surface is covered with thin oxide and poly-silicon. From this gate terminals
pMOS and nMOS are produced.
p-substrate
The p-impurities are diffused to form the base terminal of bipolar transistor
and similar, n-type impurities are diffused to form emitter terminal of BJT,
drain and source of nMOS. N-type impurities are diffused into the collector of
n-well for contact purpose.
P-substrate
Then p-type impurities are diffused heavily to produce source and drain of
PMOS transistor and to make contact in p-base region.
312 Chapter 10 VLSI Process Integration
P-substrate
P-substrate
P-substrate
10.8 FINFET
Down scaling of traditional MOSFET devices deeper into the micrometer/
nanometer side have been threatened by the short channel effects. So there
is always thirst for new devices that can overcome short channel effects of
conventional MOSFET devices. Some new devices like DG-MOSFET,
FinFET and fully depleted SOI MOSFET have promise the possibility of
further down scaling of the device. Research has shown that both devices have
overcome the problem of short channel effects and latch up.
10.8 FinFET 313
sub sub
Resist
Hard mask
Si
Si
Hard mask
Oxide
Si
Oxide
Si
Hard mask
Si
6. Gate Oxide: The gate oxide is deposited via thermal oxidation technique
to isolate the channel and gate electrode. At this stage the fins are still
10.9 Monolithic and Hybrid Integrated Circuits 315
Gateoxide
Oxide
Si
Gate
Oxide
Si
The effect of the top gate can also be inhibited by depositing a silicon nitride
layer on top of the channel. Since there is an oxide layer on an SOI wafer, the
channels are isolated from each other anyway.
Resist Gate
Hard mask
Oxide
Si
Si
Oxide
Si
Monolithic IC
A monolithic circuit, literally speaking, means a circuit fabricated from a
single stone or a single crystal. The origin of the word ‘monolithic’ is from the
Greek word mono meaning ‘single’ and lithos meaning ‘stone’.
The monolithic integrated circuits are, in fact, fabricated with a single piece
of single crystal silicon. The major benefit of integrated circuit of reducing the
production cost of electronic semiconductor circuits due to batch production
316 Chapter 10 VLSI Process Integration
Hybrid IC
As the name implies, hybrid means, more than one individual types of chips
are interconnected. The active components that are contained in this kind of
ICs are diffused transistors or diodes. The passive components are the diffused
resistors or capacitors on a single chip.
automation in the manufacturing process. The target was to use the new
developments in computer hardware and software to improve manufacturing
methods. So these efforts results in computer integrated fabrication of circuits.
The objective of fabrication of integrated circuits includes higher chip fabrication
yield, reduction in product cycle time, maintaining reliable levels of product
performance, and improving the reliability of processing equipment. Table 10.2
shows the data of a 1986 study by Toshiba. This study give results on the use of
IC-CIM methods in producing 56-K byte DRAM memory circuits.
To successfully manufacture VLSI circuit, the process step must be carried out
in an environment that is meticulously controlled with respect to cleanliness,
temperature humidity, and orderliness. Fabrication monitoring and control are
other important areas.
< 109 < 109 < 109 1*109 2*109 4*109 Critical metals on
wafer surface after
cleaning (cm-2)
The critical particle size is on the order of half of the minimum feature size.
Particles larger than this size have a high probability of causing a manufacturing
defect.
It is obvious that great care must be taken in making sure that the factories
in which chips are manufactured are as clean as possible. Even with a ultra
clean environment, and even with procedure with clean wafers thoroughly and
often, it is not realistic to expect that all impurities can be kept out of silicon
wafers. There is simply too much processing and handling of the wafers during
IC fabrication.
The manufacturing units producing chips must be clean facilities. Particles
that might deposit on a silicon wafer and cause a defect may originate from
many sources including people, machines chemicals and process gases. Such
particles may be airborne or may be suspended in liquids or gases. It is common
to characterize the cleanliness of air in IC facilities by the designation “class
10 or class 100”. Figure 10.12 illustrates the meaning of these terms.
10.11 Fabrication Facilities 319
100,000
104
100 10,000
10 1000
100
1
1
0.1 1 10 100
Particle Size (mm)
Fig. 10.12 Particle size distribution curve for various classes of clean room. The
vertical axis is the total number of particles larger than a given particle size.
Class 10 simply means that in each cubic foot of air in the factory. There
are less than 10 total particles greater than 0.5 mm in siae. A typical class
room of university is about class 100000 while room air in state of the art
manufacturing facilities today is typically class 1 in critical areas. This level of
cleanliness is obtained through a combination of air filtration and circulation,
clean room design and through careful elimination of particular sources.
Particles in the air in a manufacturing plant generally come from several
main sources. These includes the people who work in the plant, machines
that operate in the plant, and supplies that are brought into the plant. Many
studies have been done to identify particle source and the relative importance
of various sources. For example people typically emit several hundred particle
per minute from each cm2 of surface area. The actual rate is different for
clothing versus skin versus hair but net result is that a typical human emits
5-10 million particles per minute.
Most modern IC manufacturing plant make use of robots for wafer handling
in an effort to minimize human handling and therefore particle contamination.
The very first step in introducing particles is to minimiae these sources. People
in the plant wear “bunny suits” which cover their bodies and clothing and which
lock particle emissions from these sources. Often face masks and individual
air filters are worn to prevent exhaling particles into the room air. Air showers
at the entrance to the clean room blow loose particles off people before they
enter and clean room protocols are enforced to minimize particle generation.
Machines that handles the wafers in the plant are specifically designed to
minimize particle generation and materials are chosen for use inside the plant
which minimize particle emission.
320 Chapter 10 VLSI Process Integration
10.12 SUMMARY
This chapter considered processing technologies for passive components,
active devices and ICs. Four major IC technologies based on the bipolar
transistor, the MOS-FET, CMOS, Bi-CMOS and FinFET were discussed in
detail. It appears that the FinFET will be the dominant technology at least until
2025 because of its superior performance compared with the peer component.
For 100 nm CMOS technology, a good candidate is the combination of an SOI
substrate with interconnections using Cu and low-k materials.
PROBLEMS
1. With a neat sketch explain BiCMS fabrication.
2. Describe various steps in fabrication of CMOS.
3. Discuss N-well process for CMOS fabrication.
4. Discuss advantage of CMOS over bipolar devices.
5. An n well process has thin oxide, n-well and n-plus masl layers, in
addition to the other regular layers. Draw the mask combinations to
obtain an n transistor, a p-transistor contact, a VDD contact and a VSS
contact.
REFERENCES
1. S. Wolf, “Silicon Processing for the VLSI Era, Vol 3,” The Submicron
MOSFET, Lattice Press, Sunset Beach, CA, (1995).
2. S.M. Sze, “Physics of Semiconductor Devices,” Wiley, New York, (1981).
3. E.H. Nicollian and J.R. Brews, “Metal Oxide Semiconductor Physics and
Technology,” Wiley, New York, (1982).
4. Y.P. Tsividis, “Operation and Modeling of the MOS Transistor,” McGraw-
Hill, New York, (1987).
5. F.M. Wanlass and C.T. Sah, “Nanowatt Logic Using Field-Effect Metal-
Oxide-Semiconductor Triodes,” IEEE Int. Solid-State Circuits Conf., (1963).
6. J.Y. Chen, “CMOS Devices and Technology for VLSI,” Prentice-Hall,
Englewood Cliffs, NJ, (1989).
7. R. Chwang and K. Yu, “CMOS—An n-Well Bulk CMOS Technology for
VLSI,” VLSI Design, 42 (1981).
8. L.C. Parrillo, L.K. Wang, R.D. Swenumson, R.L. Field, R.C. Melin, and R.A.
Levy, “Twin-Tub CMOS II,” IEDM Tech. Dig. 706 (1982).
References 323
9. R.H. Dennard, F.H. Gaensslen, H.N. Yu, V.L. Rideout, E. Barsous and A.
R. LeBlanc, “Design of Ion-Implanted MOSFETs with Very Small Physical
Dimensions,” IEEE J. Solid-State Circuits SC, 9:256 (1974).
10. Y. El Maney, “MOS Device and Technology Constraints in VLSI,” IEEE
Trans. Electron Dev.ED, 29:567 (1982).
11. J.R. Brews, W. Fichtner, E.H. Nicollian, and S.M. Sze, “Generalized Guide
for MOSFET Miniaturization,” IEEE Electron Devices Lett. EDL 1:2 (1980).
12. M.H. White, F. Van de Wiele, and J.P. Lambot, “High-Accuracy Models for
Computer-AidedDesign,” IEEE Trans. Electron Dev. ED, 27:899 (1980).
13. P.L. Suciu and R.I. Johnston, “Experimental Derivation of the Source and
Drain Resistance of MOS Transistors,” IEEE Trans. Electron Dev. ED,
27:1846 (1980).
14. M.C. Jeng, J.E. Chung, P.K. Ko, and C. Hu, “The Effects of Source/ Drain
Resistance on Deep Submicrometer Device Performance,” IEEE Trans.
Electron Dev. 37:2408 (1990).
15. C.Y. Lu, J.M.J. Sung, R.Liu, N.S. Tsai, R. Singh, S.J. Hillenius, and G. C.
Kirsch, “Process limitation and Device Design Trade-offs of SelfAligned
TiSi2 Junction Formation in Submicrometer CMOS Devices,” IEEE Trans.
Electron Dev. 38:246 (1991).
16. B. Davari, W.H. Chang, K.E. Petrillo, C.Y. Wong, D. Moy, Y. Taur, M.W.
Wordeman, J.Y.C. Sun, C.C.H. Hsu, and M.R. Polcari, “A High Performance
0.25 mm CMOS Technology: II—Technology,” IEEE Trans. Electron Dev.
39:967 (1992).
17. S. Nygren and F. d’Heurle, “Morphological Instabilities in Bilayers
Incorporating Polycrystalline Silicon,” Solid State Phenom. 23&24:81 (1992).
18. A. Ohsaki, J. Komori, T. Katayama, M. Shimizu, T. Okamoto, H. Kotani, and
S. Nagao, “Thermally Stable TiSi2 Thin Films by Modification in Interface
and Surface Structures,” Ext. Absstr. 21st SSDM, 13 (1989).
19. C.Y. Ting, F.M. d’Heurle, S.S. Iyer, and P.M. Fryer, “High Temperature
Process Limitationson TiSi2,” J. Electrochem. Soc. 133:2621 (1986).
20. H. Sumi, T. Nishihara, Y. Sugano, H. Masuya, and M. Takasu, “New
Silicidation Technology by SITOX (Silicidation Through Oxide) and Its
Impact on Sub-Half-Micron MOS Devices,” Proc. IEDM, 249 (1990).
21. F.C. Shone, K.C. Saraswat and J.D. Plummer, “Formation of a 0.1 m n/p and
p/n Junction by Doped Silicide Technology,” IEDM Tech. Dig., 407 (1985).
22. R. Liu, D.S. Williams, and W.T. Lynch, “A Study of the Leakage Mechanisms
of Silicided n+/p Junctions,” J. Appl. Phys. 63:1990 (1988).
23. M.A. Alperin, T.C. Holloway, R.A. Haken, C.D. Gosmeyer, R.V. Karnaugh,
and W.D. Parmantie, “Development of the Self-Aligned Titanium Silicide
Process for VLSI Applications,” IEEE J. Solid-State Circuits SC, 20:61
(1985).
324 Chapter 10 VLSI Process Integration
24. R. Pantel, D. Levy, D. Nicholas, and J.P. Ponpon, “Oxygen Behavior During
Titanium Silicide Formation by Rapid Thermal Annealing,” J. Appl. Phys.
62:4319 (1987).
25. D.B. Scott, W.R. Hunter, and H. Shichijo, “A Transmission Line Model for
Silicided Diffusions: Impact on the Performance of VLSI Circuits,” IEEE
Trans. Electron Dev. ED, 29:651 (1982).
26. P. Liu, T.C. Hsiao, and J.C.S. Woo, “A Low Thermal Budget Self-Aligned
Ti Silicide Technology Using Germanium Implantation for Thin-Film SOI
MOSFETs,” IEEE Trans. Electron. Dev. 45(6):1280 (1998).
27. J.A. Kittl and Q.Z. Hong, “Self-aligned Ti and Co Silicides for High
Performance sub-0.18_mCMOS Technologies,” Thin Solid Films 320:110
(1998).
Appendix A
Properties of Ge and Si at 300 K
PROPERTIES of Ge & Si at 300 K
Properties Ge Si
Atoms/cm3 4.42 × 1022 5.0 × 1022
Atomic weight 72.60 28.09
5
Breakdown field (V/cm) ~10 ~ 3 × 105
Appendix B
List of Symbols
Symbol Unit Description
m* Kg Effective mass
m0 Kg Electron rest mass
L cm or μm Length
kT ev Thermal Energy
k J/K Boltzman constant
J A/cm2 Current density
I A Current
hv eV Photon energy
h J-s Planck’s constant
f Hz Frequency
em V/cm Maximum field
e V/cm Electric field
Eg eV Energy bandgap
EF eV Fermi energy level
E eV Energy
D cm2/s Diffusion coefficient
C F Capacitance
c cm/s Speed of light in vacuum
B Wb/m2 Magnetic induction
a A Lattice constant
O V Barrier height or imref
p d-cm resistivity
μp cm2/V-s Hole mobility
μn 2
cm /V-s Electron mobility
μ0 H/cm Permeability in vacuum
t s Lifetime or decay time
Appendix 327
Appendix C
Useful Physical Constants
Quantity Value Symbol
Wavelength of 1 eV quantum 1.23977 μm y
Thermal voltage at 300 K 0.0259 V kT/q
Thousandth of an inch 25.4 μm mil
Torr 1 mm Hg
Standard atmosphere 1.01325 × 105 N/m2
Speed of light in vacuum 2.99792 × 1010 cm/s c
Photon rest mass 1.67264 × 10-27 kg Mp
Reduced Planck constant 1.05458 × 10 -34
J-s (h/2p) .
Room temperature value of kT 0.0259eV
Micron 10-4 cm μm
Gas constant 1.98719 cal-mol-1K-1 R
Gram-mole 6.023 × 1023 molecules
Electron volt 1.60218 × 10-19 eV
Electron rest mass 0.91095 × 10-30 kg m0
Elementary charge 1.60218 × 10-19 C q
Calorie 4.184 J
Bohr radius 0.52917 A aB
Boltzman constant 1.38066 × 10-23 J/K k
Avogadro constant 6.02204 × 1023 mol-1 NAVO
Atmosphere 760 mmHg
Angstrom unit 1 A= 10-1 nm A
328
Appendix D
Periodic table of the elements and element electronic mass
57 58 59 60 61 62 63 64 65 66 67 68 69 70
La Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Yb
138.9055 140.116 140.50765 144.24 (145) 150.36 151.964 157.25 158.92534 162.50 164.93032 167.26 168.93421 173.04
89 90 91 92 93 94 95 96 97 98 99 100 101 102
Ac Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No
232.0381 232.0381 231.035888 238.0289 (237) (244) (243) (247) (247) (251) (252) (257) (258) (259)
Appendix
Appendix 329
Appendix E
Some Properties of the Error Function
2 2 ( u3 u5 )
|
- z2
erf u = e dz = ( u - + - ... )
p p( 3 x 1! 5 x 2! )
Therefore
erf (–u) = –erf u
2 ~ - z2
erfc u = 1 – erf u = | e dz
p u
2u
erf u ~ for u << 1
p
2
1 e -u
erf u ~ for u >> 1
p u
erf (~) = 1, erf(0) = 0
erf(0) = 1, erfc(~) = 0
d erf u 2 -u 2
= e
du p
1
( )
u
|
2
erfc z dz = u erfc u 1- e -u
0
p
~ 1
|
0
erfc z dz =
p
~ p u - z2 p
| , | e dz =
2
e -u du = erf u
0 2 0 2
330 Appendix