Ec3552 Vlsi Book-muralibabureg2021_compressed
Ec3552 Vlsi Book-muralibabureg2021_compressed
TOTAL: 45 PERIODS
CONTENTS
UNIT 1: MOS TRANSISTOR PRINCIPLES
Operations..
....2.5
2.1.4
I-V Effects..s... 2,7
.2 Non-Ideal I-V Characteristics (Or) Non-ldeal
2.2.1 Introduction.... ...............2.
2.2.2 Mobility Degradation and Velocity Saturation.... ..2.7
Constant. *..5.1
5.1 Elmore's Delay (0r) Elmore's
Introduction ..5.1
5.1.1
Example.... .5.2
5.1.2
Normalized Delay...... .5.2
5.1.3
.5.3
5.1.4 Delay Components
Elmore Delay of a CMOS Inverter..... ..5.3
5.1.5
5.2. Circuit Families.. ...5.4
5.3 Static CMOSDesign: Static Logic Gates.... .....5.5
... .5.5
Introduction
5.3.1
CMOS.
... 5.5
Li.t 5.3.2...Complementary
5.3.3 Bubble Pushing.. ....5.7
5.3.4 Compound Gates 5.8
....... 5.10
5.3.5 Skewed Gates
Asymmetric Gates.
....... 5. 11
5.3.6
.....5.12
5.3.7PN Ratio
5.4 Ratioed Circuits.... 5.13
........
5.4.1 Introduction ... 5.13
Chapter-1
INTRODUCTION TO VLSI
1,1 INTRODUCTION
aDefinition of VLSI
ICs dissipates less heat; consumes less energy and very reliable çompared to the
Vacuum tubes.
12| VLSIand Chip Design
e Need ofIntegration
- To
increase the number ofcomponents in a chip.
Toreduce the size of the device.
Disadvantages:
a Applications
are widely used in various branches of Engineering
In today's world VLSIchips
like:
(ii) Computers.
(iv) Commercial electronics.
(v) Automobiles.
1.2.1 Introduction
The most basic element in the design of a large scale integrated circuit
is the
Metal-Oxide-Semiconductor Field Effect Transistor (MOSFET) which is a type
of Field- Effect Transistor (FET).
o MOSFET has an insulated gate, whose voltage determines the conductivity of the
device. This ability to change conductivity with the amount of applied voltage
can be widely used for amplifying (or) switching electronic signals in the
electronic devices.
Gate (G)
Oxide layer
Drain (D)
Source (S) Channel
Substrate
Body (B)
Fig 1.1 Internal structure ofMOSFET
oo
Figure 1.1 shows the internal structure of MOSFET transistors and it have.three
terminals, such as Drain (LD), Source (S) and Gate (G) and also one more
terminals called Substrate (or) Body (B) is used in the circuit connections.
The gate electrode is insulated from the channel near an extremely thin layer of
metal oxide.
Transistors are built on nearly flawless single crystals of silicon, which are
The small voltage at the gate terminal controls the current flow through the
channel between the source and the drain terminals (channel length L).
a
Types of MOSFET
The main types of MOSFET based on the charge carriers namely,
() n-channel MOSFET (or) nMOS transistor (or) NMOS tranistor.
(1)p- channel MOSFET (or) pMOS transistor (or) PMOS transistor.
P N
Substrate G Substrate
N P
n-channel
p-channel
P N
SiO,
(Insulator)
a MOSFET Symbols:
D D
G G
T
S
nMos ON
OFF
ON OFF
pMos
When the gate (G=1) of an nMOS transistor is '1 then the transistor is
(a)
ON.
I acts as a closed switch" and there is a conducting path from the
Source to the drain.
(b) When the gate is low, then the nMOS transistor is OFF. It acts asa
(G-0)
"opened switch" and almost zero current will flows fom source to the
th
drain.
It will turn ON by pulling the gate voltage higher than the source voltage for
nMOS(or) lower than the source voltage for pMOS.
Drain (D) Drain (D)
-o Substrate o Substrate
Gate (G) Gate (G) o
In this mode, the conductivity increases by increasing the oxide layer which
an
adds the carriers to the channel. Generally, this oxide layer is called
Inversion layer'.
|1.10 VLSI and Chip Design
Substrate o Substrate
The symbols used for depletion mode of MOSFETs in both n-channel and
p-channel types are shown above in Fig 1.7. The fourth terminal substrate is
connected to the source terminal.
The continuous thick line which is connected between the drain and the
source terminal represents the depletion type. The arrow symbol indicates the
type of channel, such as n-channel (or) p-channel.
The conductivity of the channel in depletion MOSFETs is less when
compared to the enhancement type of MOSFETS.
1.2.6 CMOS
The term CMOS stands for "Complementary Metal
Oxide Semiconductor". It is
one of the most important
technologies in the computer chip design industry
and
broadly used today to form Integrated Circuits numerous
(1Cs) in varied
applications.
CMOS fabrication technology, which
requires both n-channel (nMOS) and p
channel (pMOS) transistors to be built on the same
chip substrate.
To accommodate both nMOS
and pMOS devices, special regions must be
created in which the semiconductor type is
opposite to the substrate type. These
and regions are called wells (or) tubs.
e
is
A CMOS Applications
The CMOS technology has been used for the following designs:
the
) Microprocessors.
the
(ii) Microcontrollers chips.
(iii) This technology makes use of both p' channel and 'n' channel
semiconductor devices.
(iv) High noise margin.
CMOS logic family is a group of logic circuits which are built with
complementary MOS devices. Off all the MOS families, NMOS and CMOS are
used in making an integrated circuit, because of its advantages.
+VbD
ViN Q2 Vo
VIN
B Q3 Vo
Vo
OFF OFF 1 (HIGH)
Qg 1
OFF ON 1(HIGH)
1 0 ON OFF 1
(HIGH)
ON ON 0(LOW)
are given to
and Q3 act as the switching MOSFETs. The two inputs A andB
MOSFETQ and Q3 respectively.
(i) When both inputs Aand B are given LOW input, both the MOSFET:
are turned OFF, which makes the output Vo as HIGH.
A B Q2 Q3 Vo
Q4
0 OFF OFF 1 (HIGH)
1 OFF ON 0 (LOW)
Vo 1 ON OFF 0 (LOW)
1 1 ON ON 0 (LOW)
A B
Vpo
-Y
A
oY A =Ã
GND
(a) Symbol (b) Schematic
The drain of pMOS transistor is connected to supply voltage Vpp and the
source of the nMOS is connected to the ground (GND).
VLSI and Chip Design
1.16|
Y=A
1
Y
Y =A•B
GNDs
(a) Symbol (b) Schematict L;
eit iC 34.3
Fig 1.12 Two input NAND gate
Introduction to VLSI 1.17
If either an input A (or) B is 0', one of the nMOS transistor will be OFF that
breaks the path between output Y and GND. At the same time atleast one
of
pMOS transistor is ON, making a path from Y to VpD. Therefore, an output
wili be 1'.
If both the inputs are 1', both nMOS transistors will be ON and both pMOS
willbe OFF, hence an output will be '0°. Truth table
of two input NANDgate
is shown in the Table 1.2.
1 OFF ON 1
1
OFF ON
1 1
ON OFF
VoD
Y= A.B.C
A
B
+
Y =A+ B
= Y=A B
Input Output
A B Y=A +B
1
0 0
0 1 0
0 0
VDD
Y=A+B+C
GND
Fig 1.16 show a networksthat are arranged one is ON and the other OFF for
any input pattern. The pull-up and pull-down networks in the inverter with
each consist of a single transistor.
When both pull-up and pull-down are OFF, then,t enne output state is impedance
(or) floating Z. This logic is very important in multiplexers, memory element,
and trisate bus drivers.
20
VLSIand ChipDesio
VoD
pMOS
Pull-up
Network
Inputs
Output
nMOS
Pull-down
Network
Gnd
Fig 1.16 General logic gate using pull-up and pull-down networks
X X
1
! 1
Y
eisYetaitse
OFF OFF OFF ON
Input X Output
A
Y=A·B
B
bull
1
orks
t is 0 0
1
(X=Y)
Fig 1.17 Series connections of nMOS transistors
(b) nMOSTransistors in Parallel
Connections: OR Gate Operation
of
ng Input X Output
A B Y= A+B
-an 0 0
0 1
S 1
1
VLSIand Chip Design
1.22
X
X
1
0
-
Y ON
ON ON
OFF
0 4
A
1
0
B
Y Y Y Y
Y
ON OFF OFF OFF
InputX inoOutput
B Y=A+B
0 0 1
1
0
1.
1 1
A B o fo of
Y Y Y
ON ON ON OFF
Input X Output
A B Y= A-B
1
-
1
In Fig 1.21 (b), now for the OR function requires the parallel connections
switches ((A-B) + (CD)).
combination
In Figs 1.21 (c & d), for the pMOS pull-up network, the parallel
and D. The
C
(a) (b)
(d)
A B' Y
Y D
(e)
Symbol
Schematic
(ii) Increases the operating speed of circuits due to the absence of parasitic
capacitance effect.
(iv) Requires less power than disc«eie components.
(v) Higher reliability.
5. List the disadvantages of VLSI.
The disadvantages of VLSIare,
(i) .Some complex IC's may be costly. We cannot repair an individual
Component inside an IC which are to0 small.
VLSI and Chip Design
26
more than 10 wat
does not exceed
(i) The power rating for most of the IC's
power IC's.
Thus, it is not possible to manufacture high
components like transformers and inductors cannot be integrated int
(ii) Some
to semiconductor pins.
an IC. They have to be connected externally the
not possible.
(iv) High grade p-n-p assembly is
handled (or) exposed to excessive
(v) An IC will not work properly if wrongly
heat.
6. Mention the applications of VLSI.
are widely used in various branches of Engineering
In today's world VLSI chips
like:
The main types of MOSFET which is based on the charge carriers namely,
S
(a) pMOS it (6)
nMOS:25i:
Symbols of MOSFET
10. Defne enhancement mode ofMOSFET.
The Enhancement mode MOSFET is
equivalent to a "normally open" switch.
These devices are OFF at zero gate-source
voltage for both nMOS and pMOS.
These types of transistors require Vas to switch
ON the device.
I1. What is a
depletion mode in MOSFET?
The depletion mode MOSFET transistors are
generally “switched ON" at zero
gate-source voltage (VGs= 0) and is
it equivalent to a "normally closed" switch
n
and requires Vas to switch OFF the device.
If the gate voltage increases in
positive, then the channel width increases.
12. List the applications of MOSFET.
What is CMOS?
Oxide Semiconductor". It
The term CMOS stands for "Complemnentary Metal
on the same chip([C).
requires both nMOS and pMOS transistors to be built
+VpD
Q.
VIN
Draw the symbol and schematic diagram of CMOS nverter.. rsy sth aaitat
A
-Y E
GND
(b)
Schematicg
(a) Symbol
Introduction to VLSI 1.31
Gate
Source Drain
V Channel
V
nt n
Vds
p-type BodyfEiBpe J:is
.. (4)
2
are defined as,
The mean difference between the gate and channel potentials
=
.(5)
2
-v,-V)
gc gs
2
p-type Body
WL
C = kox E
WL
= Co WL ...(7)
Iox
Eox
Cox =Capacitance per unit area of the gate oxide.
Tox
VLSI and Chip Design
|2.4|
2.1.3 Mobility
an average velocity v which i
Each carrier in the channel is accelerated to
to lateral electric field
called as carrier drift velocity and it is proportional the
i.e. the field between source and drain.
Eta! L
(9)
the
The long-channel model assumes that carrier mobility is independent of
a
applied fields. The velocity saturation means that the carriers approaches
maximum velocity vsot when high fields are applied.
The time required for carriers to cross the channel is the channel length which
is divided by the carrier velocity.
... (10)
I, = L
The current between source and drain, la, is the total amount of charge in the
CV-)
Substitute equations (7) and (8) in above equation,
Ca
WL(-,)
MOS Transistor Theory 2.5
as = H,
CaV-V,-Va/2)Va... (12a)
2.1.4 Operations
(1) Cutoff Region
o In this region, when Vgs< V the transistor is OFF, thus no channel is formed
between the source and drain with almost zero current i.e., Iás =0.
... (13)
In linear region, when Vgs > V, the transistor is ON, but Vas is relatively small
and gate attracts charge carrier to form a channel. lás is the current flow
between source and drain.
When Vá << VaT, Iis increases almost linearly with Vás and it isexpressed as,
VLSIand Chip Design
2.6
... (14a)
Linear (16)
V<Vd sat
Saturation
- 0.5
I4s (A)
Vgs
-1
Vos -0.6 - 50
100
Vos =0.8
Vos -0.8
-
504
Vos -1.0 100
Vos =0.6
- 150
Vos
0.5
los (A)
Fig2.3 I-Vcharacteristics of
MOSFET
MOS Transistor Theory |2.7
Fig 2.3(a) shows the I-V characteristics for the transistor. According to the
first-order model, the current is zero for gate voltages below V;.
For higher gate voltages, current lis increases linearly with Vas. As Vas
reaches the saturation point, i.e., Vaso VcT ,current rolls off andeventually
becomes independent of
of Vps when the transistor is saturated.
pMOS transistors behave in the same way, but with the signs of all voltages
and currents reversed which is shown in Fig 2.3(b).
The mobilityof holes in silicon is typically lower than that of electrons. This
means that pMOS transistors provide less current than nMOS transistors of
comparable size and hence they are slower.
2.2.1 Introduction
4 The long-channel LV model equations neglects many effects that are important
to devices with channellengths below 1 micron. Some of these effects includes:
2.8
voltage difference between drain and source
betw
Elat is the
The lateral electric field
the channel length. sit lseat it3-21t
..
by
Vps which is divided
tsi (2)
olsng
0.338
nm 'ox
Fig 2,4 shows measured data for carrier velocity as a function
of the electric fielo
E, between the drain and source. 3eiav krE rioil6L
At low fields, the velocity increases linearly with
the electric field. The slope
the mobility, ef
he fields above a critical level (E) that is the velocity leve
are Usat•
MOS Transistor Theory
2.9
i i1
w10° cm/s for electrons. i0)2*Fi
'sal-p
8x10° cmls for holes.
Vsat-n
Electrons
(cm/s)
107
v
Velocity APeff-n Holes
Measured
Carrier 106
Curve Fit
10
Elat (V/cm)
sa!
The critical electric fieldis expressed as,
20sat •..5)
a Critical Voltage:
The critical voltage Ve is the arain-source vottage at which the. critical electric
wh
field is reached.
VeE, L
If the transistor is completely velocity saturated (Vás =Vása), then the saturation
current is expressed as,
... (7)
V>V,
dependent on voltage in
* In an above expression, the drain current is quadratically
saturated.
the long-channel regime and linearly dependent when velocity is fully
Ldsat Saturation
where,
GT
Vdat= P. v
GT:
Fig 2.5 compares the a power law model against simulated results, usinE
= matches
fit is poor
a
1.3. The at low Vat, but the current at
V= Vpp
Simulated
C - pOwer law
Ids (A)
800 Vas = 1.0
600
Vie =0.8
400
Vas =0.6
200
Vas = 0.4
Vds
*g9! :0: 0.20.4s0.60.81.
Fig 2.5 Comparison of power law modelwith simulated transistor belhavior
a
channel.
Th p-n junction between the drain and body forms a depletion region with a
l width La that gets increases with Vdbs as shown in Fig 2.6. This depletion region
effectively shortens the channel length to:,
VLSI and Chip Design
.12|
Leff
Vgs
.
Vdb
p-type body
b
Depletion
region
Assume, that the source voltage is close to the body voltage (V s V).
Increasing Va decreases an effective channel length. Thus the shorter channel
length results in, higher current; thus, la increases with Vá in saturation and it is
expressed as,
L= ... (10)
2
a Definition
The variation of the threshold voltage due to source to substrate voltage Vsb, is
referred to as body effect (or) substrate-bias effect.
When a voltage sb is applied between the source and body, it increases the
amount of charge required to invert the channel. Hence, it increases the
threshold voltage and it can be modeled as,
.. (11)
nel
, = 2 U, In ...
(12a)
it is ...
Cox
(12b)
Eox
where,
Vio - Threshold voltage when the source is at the body potential.
As
,- Surface potential at threshold.
(DIBL)
(2) Drain- Induced Barrier Lowering
a Definition
affects the threshold voltage.
Vá creates an electric field that
The drain voltage
n
as DIBL effect, especially in short-channel transistors.
This is called
Small channel length L, where the source and drain depletion regions extend into
a significant portion of the channel and it is called as short channel effect (or)
V, rolloff.
2.2.5 Leakae
G D
Isub nt
jun
P-Substrate
°Body
m Sub threshold
conduction between source and drain: subl.
It is caused by thermal emission of carriers over the potential barrier set by the
threshold.
(ii) Gate leakage fromthe gate to body: Igatel.
It is a quantum-mechanical effect caused by the tunneling
through an extremely
thin gate dielectric.
(iii) Junction leakage from the source to body and drain to body: ljunl
It is caused by a current through the p-n junction between the source/drain
diffusions and the body.
The leakage becomes an important design consideration in nanometer processes.
-ku
u(T) *.. (14)
T, - Room temperature.
sat
also decreases with temperature and dropping about 20 % from 300 to
400 K. The magnitude of the threshold voltage decreases nearly linearly with
temperature and it may be approximated as,
The transistor ON current, lon at high Vpp decreases with temperature whereas
the OFF current increases. But the subthreshold leakage increases exponentially
with temperature.
VLSI and Chip Design
2.16|
IonluA)
800
780
7604
740
7204
20 40 60 80 100
120s itsi0ast
Temperature (C)
a
The source and drain tend to diffuse laterally into the gate by Lp that produces
shorter effective channel length that the carriers must transverse between the
source and drain. Similarly, Wp accounts for other effects that shrink the
transistor width.
nMOS pass transistor passes a strong 0 and a weak1. pMOS pass transisto
passes a strong I and a weak 0. Combine this two in parallel to make a CMOS
which
pass gate(CMOS based switch) which will pass a strong 0
and a strong
as transmission gate. That pMOS and
is called is, in transmisison gates both
nMOS works simultaneously.
MOS Transistor Theory
2.17
A It is an analog gate similar to a relay that can
conduct in both directions (or)
block by a control signal with almost any
voltage potential.
CMOS transmission gate which uses nMOS
and pMOS tranistors as a voltage
controlled bilateral switch.Here, both the control
input(A) and its complement
are required by the transmission gate.
4 Fig 2.9 shows the CMOS transmission gate. Fig 2.9 (b & c) respectively
shows
the truth table ofTG and its symbol.
IN OUT
H H
B -
H L L
L X (don't z (High
care) Impedance)
A
In/out o o In/out
(c) Symbol i
() High:
are turned e ON and provide a low-resistance
Equal to Vpp, then both transistors
C.
current path between the nodes B and
(ii) Low:
will be an
transistors will be OFE, and the path between the nodes B and C
Both
high-impedance (Z) state.
open This condition is also called the
circuit.
ØAdvantages
The advantages of TGL are,
power reduction as compared to the
(i) TGL technique achieves 83%
conventional CMOS design.
to reduce complexity, leakage
(ii) TGL is used in combinational circuit design
current and leakage power.
(iii) Complex gates can be implemented using minimum number of transistors,
which also reduces parasitics.
(iv) The combination of both PMOS and NMOS in transmission
gate
arrangement avoids the problem of reduced noise margin, increase
Switching resitance and increased static power dissipation (caused by
increased threshold voltage), but requires that control and its complement
be available.
Disadvantages:
The disadvantages of transmission gates are:
a Applications of TG
The main applications of TGs are:
Multiplexers are the most important components in CMOS memory elements and
data manipulation structures. A multiplexer selects an output from among the
several inputs based on the select signal.
I4 Output y
Inputs MUX
-Select
I3
S So
S1 So
Fig 2.10 shows the most commonly used 4:l multiplexer, which uses 4 inputs
and produced one output. It is easy to construct and simple to implement the
logic.
Here. Si andSo are the select signals. Io, Ii, Ih and I; are the inputs and Y is
the output. If S, and So have defined logic states, output Y must always be
connected to one of Lo to I3.
VLSI and Chip Design
.20|
can be expressed in
this 4:1 multiplexer
The output (or) logic function of
as,
terms of inputs and select signals
I3
Table 2.1 Truthtable of
4:1 multiplexer
(b) Symbol
So
So
S1
Y
S
-
So S1
So
are S and S. The input Do is selected when S=l and S=0 and the input D, is
· selected when S= 0
and S=1.
VLSIand Chip Design
|2.22
ty
expression is given as Y = S-D, + S-D,. The truth table for
The logic
inputs MUX is shown in Table 2.2.
Select lines Output
Inputs
D
S Y
D,
1
X 0
1 1
X 1
0 X 0
1
X 1 0
Fig 2.13(b).
Do
Do
D,
CLK
(a) (b)
D
D-o Q
= 0
CLK= 1
eCLK
(c) (d)
CLK
CLK
D
D
(e) ()
Fig 2.14 (a). The multiplexer can be built from a pair of transmission gates,
shown in Fig 2.14 (b), because the inverters are restoring.
VLSI and Chip Design
24
(2) Flip-Flops
When CLK is low, then the master negative-level-sensitive latch output (QM)
follows the D input while the slave positive-level-sensitive latch holds the
previous value (Fig 2.16(a).
When the clock transitions from 0 to the master latch becomes opaque and
1,
Jatch becomes
holds the D value at the time of the clock transition. The slave
to the output of the slave
transparent, passing the stored master Value (QM)
latch ().
affecting the output because the master is
from
The D input is blocked transitions from
input (Fig 2.16(b)). When the clock
disconnected from the D
value and the master starts sampling the input
1to 0, the slave latch holds
its
again.
MOS Transistor Theory 2.25
CLK
CLK
QM
D
(a)
CLK CLK
QM
OM
D-t
CLK = 0
(a)
QM
Do
CLK = 1
(b)
Fig 2.17 (a). Thus, this device is called positive-edge triggered flip-flop
a
2.26
CLK CLK
(b)
(a)
symbol ofD flip-flop
Fig 2.17Clock signal and
a Register:
flip-flops which sharing a common clock input is called a
A collection of D
register.
0.345x10-12
Car =
tox 0.5x10-5
0.69 x 10 F/om?
Cox = 69 nF/cm?
Problem-2
Solution:
172.5x10-7 =
86.25.
B= 0.2
62.1x107 =
B = 31.05u4/ V?
0.2 L
Problem-3
Consider an nMOS transistor in a 65 nm process with a mininum dravwn
channel length off 50nn, the gate oxide thickness is I0.5 A', the high-field
mobility of electrons to be 80 cm'/ Vs. Find tlhe value of B
using the long
channel model.
O Solution:
lox
2761.2 x 10-14
10.5x10- (L)
VLSI and Chip Design
2.28|
W uA/V2
263
B=
Problem-4
ON nMOS and pMOS transistors using
voltage for fully
Find the critical
following parameters. L = 50 nm.
36 cm²/V and
=1.0)=
Hef-n„Vs
=1.0)= 96 cm' IV, ur-(Vos
Solution: cm
L= 50 nm
=
50x 10 n=5x 10°
w 10'cm/s for electrons.
Already we know, Dsat-n
() For nMOS,
20gat 2x107
where the electric field E. = 96
Heff
2x10?
Ve-n = -x5x10-6
Then, 96
200 =
1:04 V
96
(i) ForpMOS,
2x8x10
V- -x5x10-6
36
80 =
Ve-p 2.22
36
Problem-5
nm process with a nominal threshold
Consider the nMOS transistor in a 65
8 x 10 cm. Thebody is tied to ground
voltage of0.3 V anda doping level of
cm, relati
with a
substratecontact. Gate oxide thickness (tar)=10.5 x 10
MOS Transistor Theory
|2.29
1.38x10-23 x300
Then,
1.6x10-19
414x10-4 41.4x10-3
1.6 1.6
U, = 25.87 x 1026 mV
8x10!7"
= 2 (0.026)x ln
1.45x 10o
= 0.052 x 17.82
. = 0.923 ~ 0.93 V
VLSI and Chip Design
2.30
34.5x10-14
x
= 0.3 x 10°/3.2 x 10-l 828
= 0.3x 10 2649.6
~
0.1544 0.16
Y= 0.3 x 51.47x 10=
(iii) Change in threshold voltage,
0.3 +
0.16(0.93 +
0.6 -V 0.93 )
= 0.3+0.16 (1.24–0.96)
V= 0.3 +
0.0448 = 0.345 V
Ea .Vs
4.
What is velocity saturation effect?
APRMAY-2018]
The velocity saturation mneans that the carriers approaches a
maximum velocity
Dgat when high fields are applied.
modulated by the
The effective length of the conductive channel is actually
causes the depletion at the drain junction to grow, also
applied ds, increasing Va;
reducing the length of the effective channel.
V
L=v1+
2
(or)
V,=Vo+y, +Va-a)
where,
V =Vo-nV.
where, is the DIBL coefficient.
In /Out -o In/Out
of transSmission gates.
15. Mention the disadvantages
gates are:
The disadvantages of transmission
can lead to short circuits.
) Time- skew problems
(i) Slower speed.
can be connected in
problem, not more than 3TG
(iii) Due to charge sharing
cascade. After 3TG buffer circuit is required.
of TGs are:
The main applications
(i) Multiplexing element of path selector.
(ii) A latch element
Do
Do
Registers are combination of flip-flops (which are the same as latch but have a
clock pulse to trigger). Registers can hold 2" data (n bit of data) if it consists of
n
number of flip-flops.
Latch can hold 1 bit of data while registers hold multiple number of data based
on number of flip-flops which are in it.
The input voltage is applied at the gate terminals of pMOS and an output
voltage
1s taken from the interconnected drain termninal.
3.2 VLSI and Chip Design
pMOS
D ldsp
Vout
Vin
D ldsn
nMOS
tn gsn
>V gsn
gsn
V,,>V,
V,<Vm V>V,m in
nMOS
dsn <V gsn dsn
> V osn V
Vou<V in tn out
V in -V
Ve>V gSptp il.
in DD in Vi <V+Vpp
pMOS
dsp Vtp Vdsp <V-Vmp
Out in-V Out in
Table 3.I
The source of nMOStransistor is grounded 1.e. gsn =
As the
V
and Vásn Vou
source of pMOS transistor is connected in
to Vpp that is,
onnected to VpD Vgsp
Vn-VpD and
YgspVin
Vdsp = Vout -VDD.
MOSFET Transistor Characteristics
|3.3
For the given Vin, Vout May be
found for considering the following assumptions:
(iü) Vp =-Vm
(i) ,p
& A
plot of ldsn and ldsp in terms of Vdsn and Vásn for various values of Vgsn and Vgsp
are shown in Fig 3.2.
Vgsn4
+Idsn
Vgsn3
Vgsn2
VoD -Vdsn Vgsnt
VoD
A B
Vout
D
+Vin
Vn Vop/2 VoD
Vpp - Vp l
Cutoff Linear V
E Vi, >VpD -Vl
Table 3.2Summary of CMOS inverter operation
passes through voltages between GNL
When both these transistors are ON ,Vin
power supply will be show
and Vpp. The resulting current Ipp with respect to
in Fig 3.4.
Ldsn =-Idsp
Current
in
CMOS
(Ipp)
VpD
Vin
Vout
;T 1.0
0.8
0.6
0.4
0.2
0.04
+Vin
0.0 0.2 0,4 0.6 0.8 1.0
a Parasitic Capacitances
The capacitances of source and drain that oes
not affect an operation of the
devices but they normally affects the circuit performance and are called s
ey
parasitic capacitances.
(1) Diffusion Capacitance
The source and drain capacitances arise fromm p-n junctions between the
source (or) drain difusion and the body. So it is also called as diffust0"
capacitance Csb and Cdb.
MOSFET Transistor Characteristics
3.7
Csb
Substrate
The total capacitance seen from gate terminal of CMOS transistor in Fig 3.6
29
S expressed as,
...(3)
(a) Types of Diffusion Regions
There are three types of diffusion regions are:
Diffusion
(iii) Merged Uncontacted
are into a un contacted region, as shown
The source and drain
merged
Drain 2
Gate 2
Source 2
1
Gate
52. 52 52
Source 1
W W
fc)
Fig 3.7 Difusion region geometries
(1)Intrinsic Capacitance:i ud
Co =
Co WL . (4),
o2so: 0tkang
otdo NISd 3
The intrinsic capacitance has
three components representing
terminals which the dittere
is connected to the bottom
plate:
MOSFET Transistòr Characteristics |3.9
() Cp gate-to-source), and
(üi) C (gate-to-drain),
Co Ogb Co -- Cgc
Co Co 3
2
Cgd Cgd
Vds
Vdsat
(a)
as Vgs and (b) Vs
Fig 3.8 Cgc functionof (4)
Fig 3.8 (a) plots intrinsic gate capacitance Vs Vg in cutoff region and for
small Vas. Fig 3.8 (b) plots intrinsic gate capacitance Vs Vds in the linear and
saturation regions.
(a) Cut-off
When Ves<V. the MOS transistor is OFF, thus, there is no channel is formed.
i.e., Cgs =Cgd = 0.
When V,s is below.the threshold level, a depletion region forms at the surface.
Because of this, bottom plate moves downward from the oxide and resulting
in reducing the capacitance, as shown in Fig 3.8 (a).
(b) Linear
When Vo > V, the channel inverts and again serves as a good conductive
bottom plate. But, the channel is connected to the source and drain rather than
VLSI and Chip Design
3:10| source
shared between the
channel charge is roughly
At low values of Vas, the
and drain.
(
Co
ie, Cg= Cgd ) (0)
near the drain becomes less inverted, therefore
When Vas increases, the region a smaller
capacitance is attributed to the source and
more fraction of the
3.8(b).
fraction to the drain, as shown in Fig
(c) Saturation
at this mode the channel is heavily
inverted. The drain
When Vá; > Vdsats
2
Table 3.3 Approximation
for intrinsic MOS gate capacitance 769rij(d
(2) Overlap Capacitance tl o
beiottrus i
In a
real device, the gate
iats
sci: tu4.sriz !:*1
overlaps the source
to added overlap capacitances, as and the drain partialy. This leads
shown in Fig 3.9.
MOSFETIransistor.Characteristics
3:11
Source Gate
ADrai
Cgsol
t8)
(0).
The effective gate capacitance varies with the switching activity of the sourçe
and drain. The overlap capacitance is dependent on voltage. For delay
caleulation of digitalcicuits, the overlap capacitance can be approximated as,
...(7)
Ce =
Cps
t Cgd t Cgs Co +2 Cgol W
3.2.4 Detailed MOS Diffusion Capacitance Model
p-n junction
4 The parasitic capacitance across the depletion region exists in the
on the area
between the source diffusion and the body.,The capacítance depends
source diffusion region.
AS and sidewall perimeter PSof the
Gate
Drain Source
-D
3.12 ohTt: VLSland Chip Desien
Area AS = W.D
Perimeter PS = 2W + 2D
Cjbe Area junction capacitance between the body and the bottom of the source
which has units of capacitance / area and it can expressed as,
-M,
Cjbs ... (9)
Vo
where,
the source
length and this sidewall
capacitance is expressed
Cibssw =
-MJsW
Cysy
1+ ... (10)
SW - Side Walls
of the Source.
MOS transistor can
be
viewed as a four-terminal
between each device with capacitances
terminal pair as
shown in Fig 3.11.
Gate
Ogd
Source
Cgb o Drain
Cab
Body
Fig 3.11Capacitances
ofofoan MOS transistor
MOSFET Transistor Characteristics 3.13|
The gate capacitance includes an intrinsic component (to the body, source and
drain, or source alone, depending on operating regime) and an overlap terms with
the source and drain.
3.3TECHNOLOGY SCALING
3.3.1 Introduction.
a Dennard's Scaling Law
Dennard's Scaling Law predicts that the basic operational characteristics of a
MOS transistor can be preserved and the performance is improved if the critical
parameters of a device are scaled by adimensionless factor a. These parameters
include the following:
- All dimensions (in the x, y and z directions).
Device voltages, and
elahoi ar:lc2
Doping concentration densities.
a Definition of Scaling
The reduction of the size that is, the dimensions of MOSFETs, is commonly
size of MOSFET leads to an improved
referred to as scaling. The scaling down
of
a Objectives of Scaling
Scaling technology has the following three objectives:
Increase the transistor density.
Reduce the gate delay.
Reduce the power consumption.
Over the past many years to till date, much effort has been
focused towards the
evolution of fabrication process technology and scaling down of the devices and
a VLSI
feature size. So, scaling is an important factor and it is essential for
designer to know the scaling of MOS devices.1 B
4 In full scaling model, all the dimensions of the MOS devices are scaled by the
same factors, keeping the electric
field as constant.
4 In constant voltage scalng, the voltage VDD
is keptconstant and the process is
scaled. When the device is scaled down
by a dimension 1/X its current density
increases by X, whereas gate shrink
increases the urrent density by X*.
In lateral scaling, only gate length is
scaled down called gate shrink and it is
easy to implement.
Wla DIß
Polysilicon
n+ n+
p
-type
fie Doping Ng
4 l/a is the scaling factor for all the remaining linear dimensions, both vertical and
horizontal to the chip surface.
For the constant field model = a.
ß
For the constant voltage model
ß=1.
3.3.4 Scaling Factors for Device Parameters
The following are the scaling factors of device parameters which reveals the
effects of scaling:
VLSI and Chip Design
CG is scaled by
ox D
where,
E is the permittivity of the gate oxide.
Disthe gate oxide thickness,
scaled by 1/ß.
Thus, Co
is scaled by
1.ßi.
(4) Parasitic Capacitance (C.)' at obirit t u
d
where, dis the depletion
widthwhich
is scaled by 1la..
A, is the area of
the depletion region, o1ot
scaled by
Then, 1 l/a.
C, is scaled by
Lon
where, Co is scaled
by B and V, is scaled by 1/B.2e
Oon is the average charge per
unit area in the
and it is scaled by channel in ON state
MOSFET Transistor Characteristics
|3.17|
1
Pon = 1
nilha BP
(6) Current Density (0)
Ipss
J= A
P
Ag
where, Pg is power dissipation per gate, scaled by 1/B².
Then, Pa is scaled by
1/a? B
1 1 1
Channel width W
1 1 1
Channel length L
1 1
Gate area Ag
a2
VLSI and Chip Design
3.18
Constant Lateral
Symbol Constant field scaling
Parameter voltage
1
1
Parasitic capacitance C,
1 1
C
Gate capacitance
Conductor cross-section Ac
1
1
Current density
B
1
Switching energy E,
1
Logic 1 leyel V,
1
unit area P, 11
Table 3.4
MOSFET Transistor Characteristics
3.19
3.3.6 Merits and Demerits of Scaling
JMerits
The merits of scaling are
) Increased chip density i.e., more number
of gate counts on single chip.
(ii) Improved chip performance i.e., increased speed
and reduced power
consumption.
(iii) Improved device characteristics.
(iv) Reduced parasitic capacitance effects.
E Demerits
The demerits of scaling are
(1) Even though overall power consumption reduces, but the power
consumption per unit area increases due to scaling. Hence, device gets
heated up during its operation.
(i1) Because of scaling down, carrier mnobility reduces which inturn reduces
the gain of device.
(iii) Reduced conductor size decreases the current carrying capacity.
to be
(iv) High package density increases the heat generated which is
dissipated by the forced cooling.
expressed as,
Then, the total static power dissipation is
Pstatie Junctt contention)
VDD *.. (1)
Based on equations
(1) and (2), the total power
dissipation is expressed as,
Piotal = P dynamic
Piestatic
t
... (3)
3.5 TWNO MARKS QUESTIONS
AND ANSWERS
1. Mention
thedifferent types
ofMOS capacitance
The different models.
MOS capacitance
models are:
(i) Simple MOS
capacitance
(ii) Detailed model.
MOS gate
capacitance
(iii) Detailed model..
MOS diffusion
capacitance
model.
12LTanistor. Charactristics
321
Define parasitic capacitances of CMOS.
2
The capacitances of source and drain that does not
affect an operationof the
Äevices but they normally affects the circuit performance and are called as
parasitic capacitances.
The source and drain capacitances arise from p-n junctions between
the source
(or) drain diffusion and the body. So it is also called as
diffusion capacitance Csb
and Cdb.
s/ What is scaling?
The reduction is, the dimensions of MOSFETs, is commonly
of the size that
an
referred to as scaling. The scaling down of size of MOSFET leads to
on a
improved performance of VLSI design and higher packing density of circuit
chip.
O. e s 3
Mention the need of scaling technology. jiaih
Scaling technology has the following three objectives:
In constant voltage scaling, the voltage VpD is kept constant and the process is
scaled. When the device is scaled down by a dimension 1/X its current density
increases byX', whereas gate shrink increases the current density by X".
(i) Improved chip performance i.., increased speed and reduced power
consumption.
I. Draw and explain the DC and transfer characteristics of a CMOS inverter with
necessary conditions for the different regions of operation.
[APRMAY-2017]
2. Explain the DC transfer characteristics of a CMOS Inverter with necessary
conditions for the different regions of operation. [MAYIJUNE -2016]
3. Explain the DC transfer characteristics of CMOS inverter. [NOVDEC-2021|
orVLS and Chip Desig
3.24|
suitable
4. Explain in detail about C-V
characteristics of MOS transistor with
equations and diagrams.
APRMAY-2017)
S. Explain the need of scaling and its principles.
Chapter4
COMBINATIONAL LOGIC CIRCUITS
4.1 PROPAGATION DELAYS
4.1.1 Introduction
instantaneously. This is
When gate inputs change, the output doesn't change
known as "gate" (or) "propagation" delay.
a gate (e.g. inverter) is the difference in time
* The propagation delay of logic
(calculated at
50% input-output transition) at output switches, after
of
application of input.
(v) Contamination
2
Delay Time -
It is the minimum
the output crossing time from input
50%. crossing 50% to
The tpLH
defines the response
output transition, time of the gate
while tpHL refers for a low-to-high
propagation to a high-to-low (or) posittve
delay, t, is defined (or) negative
as average
the transition. Tne
of the two.
I ptlL.
pLH
Combinational Logic Circuits
4.3
Vin
50%
t
tpHL pLH
Vout
90%
50%
The maximum propagation delay is the longest delay between an input changing
value and an output changing value
4.1.3Critical Path
The path that causes propagationdelay is called the critical path, which imposes
a limit on the maximum speed of the current.
To estimate the delay, we have to find the various critical paths, which is
affected by the following four levels:
) Architectural level
is used in CMOS design. The number of gate delays, time taken
Microarchitecture
memory are considered
0 propagate, number of pipeline stages, execution units and
Into account during the design.
(i) Logical level
Atthe logic level, the timing should be optimized. The functional blocks, number
Of stages in a gate. fan-in and fan-out should be considered for design.
VLSI and Chip Design
44
(ii) Circuit level
After selecting the logic, the transistor size should be chosen, at the circuit level.
VpD VDD
Rs Rs Rg
B
HHF
Ao M4 RA
A
HHHH
R3
Bo M3
B\
R2
Co M2
R1
Do M
pHL
=0.69R(C +2.C, +3.C, +4.C,)
4.2.1 Introduction
4 VLSI design aims to translate circuit concepts onto silicon. The stick diagrams
are a means
of capturing topography and 1ayer information using simple
diagrams.
Stick diagrams uses 'sticks' or 'lines' to represent the devices and conductors. t
acts as an interface between the symbolic circuit and actual layout.
n-diffusion Green
p-diffusion Yellow
Polysilicon Red
Contact Black
Metal-1 Blue
pMOS
Vin O Vout
nMOS
GND
Draw the power supply rails both Vpp and Vss (or) GND are drawn horizontally
using blue colour (metal-1). Then an imaginary lin called demarcation line is drawn
horizontally between Vpp and Vss.
Metal (Blue)
VpD
Demarcation line
(Brown)
GND
Metal (Blue)
Draw the transistors (pMOS and nMOS) in such a way that nMOS transistors
are
Placed below demarcation line close to Vss and pMOS transistors are placed above
demarcation line below VpD
VISI and Chip Design
4.8
Metal (Blue)
VoD
p-diffusion
Polysilicon (Red) Yellow)
pMOS
Demarcation line
(Brown)
n-diffusion
(Yellow)
nMOS
t GND
Metal (Blue)
Step 3
The nMOS and pMOS transistors are interconnected by metall (blue). Only metal
Vp
GND
Fig 4.6(c)
Combinational Logic Circuits
4.9
Step 4
Perform the remaining interconnections
and add the data output path.
Metal-1
VoD
p-diffusion
Contact Metal-1
Polysilicon
n-diffusion
GND
Metal-1
|42
(b)
J42
|42
Metal-2
(a)
n-well
p-diffusion
122itt t 122
Polysilicon 4
n-diffusion Contact
Y
=A+ B +C
GND
82
B
p-diffusion
82
82
40 Metal-1
n-diffusion
Contact|
82
Metal-1
Polysilicon
82
32
diagram
Fig 4.10 3- input NOR gate schematic
NLSI and Chip Design
|4.12
Layout Design
exac
the
Layout design is a schematic of Integrated Circuit (1C), which describes
placement of the components for fabrication.
The design rules basically addresses two issues:
isirMicron
designrule, and 0
ies
(ii) Lambda design
rule.tsska
The industrial design rules are usually
specified in microns. This makes the
tha design migrating fromn one process to a more advanced process
(or) a difficult
foundry's process difficult because not all rules
scale in the same way.
Micron rules specify the layout constraints such as minimum
feature sizes and
minimum allowable feature separations are stated in terms absolute
tht of
dimensions in micrometers.
MOSIS has developed a set of scalable lambda-based design rules that covers
a wide range of manufacturing processes.
The lambda based design rule species an every dimension of a system in terms
of a parameter , that characterizes the resolution of the process. 2. is generally
half of the minimum transistor channel length.
a Feature Size
Designer often describes a process by its feature size which refers to minimum
transistor lengtlt, so A is half the feature size. The transistor dimensions always
eified by Widtlh /Length rat
This length (i.e. transistor channel length) is the distance between the source
and drain ofa transistor and it is set by the minimum width of a polysilicon
wire.
VLSI and Chip Desion
4.14|
62
(Spacing)
10
A
-10
82
(Spacing)
32 -3
3 2. (Green)
(Spacing)
-22 -22
(Green).
Active
area 12 32 Polysilicon (red)
n p+
-2
Active
layer 1siks
Fig 4.14 p and n
diffusion design rulesnit
VISI and Chip Design
4.16|
diffusion -7A
Minimum size ofp* (or) n
42
(v) Metal 1
Metal 1 (Blue) 42
Minimum size (or) width 42
Minimum spacing - 44
(vi) Metal 2
42 Minimum size
(i) (i)
! 22 22 22.
Contact (black)
Polysilicon (red)
Metal 1
(blue)
42
Minimum spacing - 42
Drawbacks
A
vast amount time gets wasted by exploring layout topologies to minimize the
of
Metal ground at
the bottom of the cell, n- diffusion, p metal
power (VDD) at the top. -diffusion, and
Combinational Logic Circuits
4.19
The power and ground lines are often called as supply
rails. Polysilicon lines
run vertically to form a transistor gates.
Metal wires within the cellconnects
the transistors appropriately.
(2) Examples
(i) CMOS Inverter
pMOS
Polysilicon
nMOS Contact
GND
Substrate Tap
i) 3Input NAND
are connected
Fig4.20 shows a 3-input NAND gate. Here, nMOs transistors
are connected in parallel.
in series while the pMOS transistors
so if two gates were abutted the
Power and ground extend 22 on each side
design rules.
contents would be separated by 42 which satisfies the
or you coúnt 4h space between the cell and an
The height of the cell is 362., if
another wire above it then it would be 40.
40 2
32 2
a Considerations
are,
Some of the important consideration in an example Fig 4.20
made
Generálly, these cells were designed such that the gate connections are
from the top or bottom in polysilicon.
Combinational Logic Circuits
|4.21|
In contemporary standard cells, polysilicon
is generally not used as a routing
laver so the cell must allow metal to
2 metal 1.and metal 1 to, polysilicon can
contacts to each gate.
This increases the size of the cell and allows free access to all terminals on
metal routing layers.
VGND
Example-2
Draw the symbol and schematic diagram of CMOS inverter.
VoD
A - Y=
Ao -Y
GND
(a) Symbol (b) Schematic
Etample -3|
Draw the symbol and schematic diagram of two input NAND gate.
JAPRMAY-2017
VpD
Y=A•B
GND
(a) Symbol (b) Schematic
Example- 4
Draw the symbol and schematic diagram of two input NOR gate.
[APRMAY-2017|
VoD
Y=A+B = Y=À+
B
(a) Schematic
(b) Symbol
Combinational Logic Circuits 4.23
Example 5
Voo
Y=A+ B
+C
Gnd
Example
8ketch a 4-input CMOS NOR gate layout.
NOV/DEC -2017 & APRMAY-2018]
VoD
y=A+ B
+C+D
VLSI and Chip Design
4.24)
rExample-7
Sketch a 4-input CMOS NAND gate layout
INOVDEC-2017 & APRMAY-201
-2018]
Vop
- -y=A• B•C•D
A
445 EXAMPLES
1
Example
Draw the layout and stick diagram fortwo input CMOS NAND gate.
NOVDEC-2018]
Vop
y=A•B
Layout diagram
Cambinationai Logic Circuits
4.25
Metal 1
VoD
Yellow (p-difusion)
Polysilicon
(Red)
Blue (Metal 1)
Demarcation
line
A
Green (n-difusion)
Vss
Metal 1
B
Vout =A + B
HE
Vss
Metal 1 (Blue)
VoD
p-diffusion (Yellow)
Polysilicon
Vout
Demarcation line
Metal 1
/
(Blue)
n-diffusion (Green)
Vss
Metal 1 (Blue)
Example 3
VoD
VGND
VpD
B
p-diffusion.
(Yellow) Metal-1 =
(Blue) 6 tracks 48
Polysilicon
(Red)
n-diffusion
(Green)
o GND
Metal-1
(Blue)
5 trackS = 40 A
When gate inputs change, the output don't change instantaneously.This is known
as "gate" (or) "propagation" delay.
The propagation delay is simply defined as the time required for the output to
reach 50% of its final output when the input changes to 50% of its maximum
input and it is denoted by tpd.
CMOS inverter.
3. Define rise time and fall tme of
output waveform to rise from 10% to 90% of
Rise Time (t)-Time taken by the
Stick diagrams uses ´sticks' or 'lines' to represent the devices and conductors. It
acts as an interface between the symbolic circuit and actual Javout 2s3 k
VDD
pMOS
Vin
O þVout
nMOS
GND
VDD
p-diffusion
Contact
Metal-1
Polysilicon
n-diffusion
GND
Metal-1
n-diffusion (green)
Polysilicon
(red)
Polysilicon
170; 7GND
Metal-1
VLSI and Chip Desig,
4.32|
20. Draw the stick diagram ofNMOS NOR gate. (NOVDEC -2019]
Metal-1
VoD
Polysilicon
(red)
n-diffusion (green)
GND
Metal-1
The Elmore delay model estimates the delay from a source switching to one of
the leaf nodes as the sum over each node i of the capacitance C; on the node,
multiplied by the effective resistance R on the shared path from the source to
the node and the leaf. Then, the Elmore delay at node i is expressed as,
... (1)
i=l
where, R,, isthe shared path resistance (or) effective resistance, and
C; is the capacitor of a particular node.
VLSI and Chip Design
5.2
5.1.2 Example
Compute the Elmore delay for Vot in the 2 order
RC system.
R1 ng R2
Vout
VoD C1
= RC
pd +(R+ R,)Cio aoi(2)
5.1.3 Normalized Delay
It is often helpful to express
delay in a process-independent
circuits can be compared form so that the
based on topology
manufacturing process. rather than the speed
of the
The normalized delay
d' relative to the inverter delay w.r.t Elmore delay 15
expressed as, '
d
=pd
... (3)
tpd
A Fan-out
Ean-out is a term
that defines the maximum number of logic inputs
output ofa logic gate can drive reliably.
that a single
+
C=Cext SCint
Where, S= Cort /Cint is a the ratio between extrinsic and intrinsic capacitance.
VLSI and Chip Desipn
5.4
of a CMOS inverter
ie
propagation delay
Then the Elmore delay expression for
given as,
0.69 Re, (Cin t+ Cext) (5)
I,=
where, Rey is the equivalent path resistance.
Out
Combinational, Combinational
In Logic Circuit Out Logic Circuit
State
(a) Combinational
(b) Sequential
Complementary CMCOS
Ratioed Logic Circuits.
Pass- Transistor Logic.
(i) Dynamic CMOS.
Domino Logic.
Dual-Rail Domino
Logic.
NP Domino Logic.
(ii)Cascode Voltage Switch
Logic (CVSL).
y
Destgn s
CMOS 5.5
The most widely used logic style
is static complementary CMOS. It is really an
5.3.1 Introduction
4A static CMOS gate is a combination of two networks, called Pull-Up Network
PUN) and Pull-Down Network (PDN).
In static CMOS, at every point in time (except during the switching transients),
eachgate output is connected to either Vop (or) Vss via a low-resistance path. An
output of the gate depends on the Boolean function implemented by the circuit.
# In dynamic CMOs, the output of the gate depends on temporary storage f
signal values on the capacitance of high impedance circuit nodes. The resulting
gate is simpler and faster. It is a design methodology in an integrated circuit
design in it uses a clock signal its implemeniation of comnbinational logic
that in
circuits.
network.
voltage usually 0V is called pull down
VLSI and Chip Design
56
VoD
Inyo
o F(In,Ing,... In,)
Ing o
Ing o Pull-up: make a connection from
Vpp to Vss when
PDN F(InIn2,. In,) 0=
In
Vss
gate
Fig 5.5 Complementary CMOS logic
to
The Fig 5.5 shows a generic N-input logic gate where all inputs is distributed
PUN
S
DD
0- VoD
VDD
PDN -0
D
Voo
Fig 5.6Pulling up and pulling down of anode using pMOS and nMOS
CMOSDesign
5.7
Consider, the PDN in above Fig 5.6
the load capacitor (C) is initially charged
to VpD- An nMOS device pulls an output
down to ground. The pMOS
decreases an output below the threshold yoltage
and turns OFF and as an
outputof PDN, we get 0.
In a PUN the output initially at ground. A
pMOS switch succeeds in charging
the outputall the way to Vpp.
B B
=
A-B A+B
NAND Inverted OR
A+B A AB
= B
A+B =
A-B)(01
NOR Inverted AND
OR = NOR + INV
AOI21
y=A-B+c -Y
A4B-4 9A =6/3
gB = 6/3
gc = 5/3
Y p=7/3
c
A2
A
AO122 gA =6/3
B gg 6/3
y= AB + CD
9c = 6/3
4 gp = 6/3
-Y p= 12/3
A B
6
Complex AOI
6 3 9A =
A
y=A(B+ C) + DE 5/3
A gB 8/3
gc = 8/3
-Y 9p = 8/3
= 8/3
9
p= 16/3
5.3.5-Skewed Gates
phase i
same arriving at diferent parts of the design with diferent
The signal
a circuit for the speed, we
may want the falling
known as skew. When optimizing
to voltage to be faster than the rising
high low
transition of a signal from
or versa.
transition from low to high voltage vice
gates whose critical transition is faster than the
In this topic, we discuss skewed
HI-skew gates and LO-skew gates. In a
non-critical transition. We distinguish
in
is the faster critical transition, and
HI-skew gate, the rising output transition
is critical.
LO-skew gates the falling output transition
2 2
A A
Y=A
1/2 1/2
(a) HI-skew Inverter (b) Unskewed inverterwith (c) Unskewed inverter wvith
This maintains the same effective resistance for the critical transition while
reducing the input capacitance when compared to unskewed inverter which has
nMOS size as 1. This is shown in Fig 5.10 (b).
4 Thus, reducing the logical effort on that critical transition is, gu = 2.5/3 = 5/6.
Then, the logical effort for the falling transition is computed by comparing the
inverter to a small unskewed inverter with an equal pull down currents shown in
Fig 5.10(c).
=
The logical effort is gu = 2.5/ 1.5 5/3.
A
-Y
Reset
optimized fordata input.
Fig 5.11 Resettable buffer
VLSI and Chip Design
5.12
A 4/3
reset 4
2E
lon Fig 5.12 Resettable buffer using asymmetric NAND gate
So, reset should occur only during an exceptional conditions and the circuit
0 should be optimized for input-output delay at the expense of reset. This can be
arlt achieved by the use of asymmetric NAND gate as shown in Fig 5.12. ttori
The pulldown resistance is RJ4 +R/ (4/3) = R, so
the gate still offers the same
driver as a unit inverter. The capacitance on
input A is only 10/3, So the logical
effort is 10/9.This is better than 4/3,
which is normally associated with a NAND
gate.
VoD
B
1.414 2
A.
A
Y 2.:3 Y
A
2
9,=1.15 gu =2
ga
9a = 0.81 B = 4/3 =1
2 9u = 9avg =3/2
Javg = 0.98s g 4/3
1 9avg = 4/3
5.4.1 Introduction
to implement an N-input
The static CMOS logic style requires 2N transistors
llogic gate. is an alternative method to reduce the. number of
Ratioed logic
the, cost of reduced
Iransistors reguired to implement a given logic function at
robustness and an extra power dissipation.
5.14 VLSI and Chip Design
th
Ratioed circuits depend on the proper size (or) resistances of devices for
correct operation.
to
The main purpose of a pull-up network (PUN) in complementary CMOS is
provide a conditional path between Vpp and an output when the pull-down
network (PDN) is turned OFF.
a Definition
to
In ratioed logic, a gate consists of a nMOS pull down network in order
implement the logie function and the entire Pull Up Network (PUN) is replaced
with a single unconditional load device that pulls up the outpuu for a HIGH
value, which is shown in Fig5.14(a).
VoD VpD
Resistive PMOS
R
load load
oF F
In2
PDN PDN
(a) Generic
(b)Pseudo - NMOS
characteristics of the pMOS must be selected so that it is weaker than the nMOS
that will pull it down.
The nominal high output voltage (VoH) for this gate is VDD, since the pull-down
assume that low
devices are turned OFF, when an output is pulled HIGH and
LOW
output voltage (VoL) is below the threshold voltage (VT), then the nominal
on the output.
output voltage is not 0V that is, the voltage gets swing
•F
A
Time
(b) Voltage characteristics
(a) Pseudo - nMOSinverter
Fig 5.15
5.16|
VLSI and Chip Design
2 Bn (Vop-Vr)
VoL
+ Fig 5.16 shows the schematics of four input Pseudo-nMOS NOR and NAND
operations. The pMOS transistor widths are selected to be about 1/4 of the
strength (i.e., 1/2 the effective width) of the nMOS pull-dovwn network which as
a compromise between noise margin and speed; this best
size is process
depéndent, but usually in the range of 1/3 tò 1/6.
VoD
VoD
Y=ABCD
QNi
QN2
QN4
QN3
Ratioed Vs Ratioless
a Definition
an integrated circuit design in
Dynamic circuit is a design methodology in
uses a sequence of precharge and
that it adds a clock input signal, which
conditional evaluation in its implementation
of
combinational logic circuits.
VoD
s0 Storage
node
-Vout
Inputs NMOS
network
Evaluate
CLK Precharge
CLK
CLK M,
Out
In
In2 PDN
In3
CLK Me
precharge mode.
The pull down path is enabled such that the output is conditionally discharged
) F PDN conducts (or) in ON' condition depending upon the input, then a
low resistance path exists between Out and GND and the output 1s
discharged to GND. Once discharged, it cannot be charged
again until next
precharge operation (LOW).
Precharge
Evaluate
Precharge
0
Out
(i) I is non-ratioed circuits that is sizing 'ofthe devices is not important for
realizing proper functionality of the gate.
(ii) For N inputs, dynamic logic requires N+2 transistors which is lower
when compared to 2N transistors for static CMOS.
(iv) It only consumes dynamic power, Ideally, no static curent path ever
exists between VpDand GND. The overall power dissipation, however,
canbe significantly higher when compared with a staticlogic gate.
(o) Faster switching speeds due to reduced load capacitance.
CLKL
+
-Z= (A B)·C
CLK
VISI and Chip Desion
5.22
Example-2
Three -input NAND
Vpp
Z= ABC
A
CLK –
Example3
VoD
CLK
Mp
Out = A
•B+C
A
CLK -
Me
CMOSDesign
5.23
Example- 4
-
Four input NAND
Voo
CLK
- Y= ABCD
Out
A
CLK
5.6DOMINO LOGIC
5.6.1 Introduction
A Monotonicity Problem in Dynamic Gates
In dynanic logic, a problem arises when cascading one gate to the next. The
precharge "1" state af the first gate may cause the second gate to discharge
state.
prematurely, before the first gate has reached its correct
I his uses up the "precharge" of the second gate, which cannot be restored until
Ine next clock cycle, so there is no
recovery fromthis error.
CLK M,
Out 1
Out 2
PDN PDN
'n4
'n3
CLK Me CLK
1
Stage Inverter Stage 2 Inverter
dynamic CMOS dynamic CMOS
AA single clock can be used to precharge and evaluate all the logic gates within
the chain.
CLK Mp CLK
CLKd| Mp
01 01 0--1
Ing Ing Ing Inn
1-0 10 1-0 10
5.7DUAL-RAIL DOMINO
LOGIC
Drawback
A
major limitation
in domino
logic is that only
implemented while some noninverting logic can be
The main
functions like XOR
approach to solve gates necessarily
this problem is dual require inversion.
In dual-rail domino
gates, each
rail (diferential) domino logic.
and output signal signal
pairs are is encoded with a input
pair of wires. The
denoted with
h and lrespectively.
CMOSDesign 5.27|
The h wire is
asserted to indicate that an output of the gate is “HIGH " or 1.
The Iwire is asserted to indicate that an output of the gate is LOW " or 0.
no c
Precharged
1 0
1 0 1?
1 1 Invalid
Y CLK
F
Inputs
Gate
Fig 5.23 Dual Rail Domino
can be viewed as,a Dynamic Cascade Voltage Switch Logic
* Dualrail domino implemented
All inverting and non-inverting logic functions can be
(DCVS).
VLSI and Chip Design
|5.28|
of dual-rail dominologic is
diagram
using Dual-Raildomino. Thc general logic
shown in Fig 5.23.
onc PDN will be ON and other will be OFF, Tha
Atany given instance, of the
turns ON the pMOS transistor to
output LOW, which
"ON' PDN will pull the usino
output HIGH. The dual-rail logic can be implemented
pull the opposite
ANDNAND gate as shown in Fig 5.24.
VoD
CLK
Yh=A•B
Ay
-
B, Bn
CLK
CLK Mp CLK - Mp
J Out,
PUN
In
Ing
In2 PDN
In3 Oute
CLK CLK Me
Me
To other
N-blocks
To other
P-blocks
Disadvantages
The disadvantages of np-CM0S logic are.
n- tree blocks modules, due to the lower
() p-tree blocks are slower than the
currentdrive of the pMOS transistors in the logicnetwork.
(ii) It requires more time to equalize propagation delay.
AB + CD
=
F
Solution:
Step l: Represent the logical expression in the form of basic gates using two
ANDs and an OR.
CMOSDesign
5.31
AB
-F
F= AB + CD
AB
AB+ CD
F=AB + CD
CD
Step 3: Now the bubble pushing technique is used to convert the AND and OR
gate into NAND and NOR gates.
Step 4: Now the circuit is further reduced to two NAND and one NOR gates
by using bubble pushing.
F=AB + CD
CD
VLSI and Chip Design
5.32
Problem- 2|
Draw the static CMOS logic circuit for the following expressions.
(or)
()
VoD
A -B
B
CMOSDesign
|5.33
(i)
Voo
B D4C
A
-F=(A+ B +
C)•D
DL
(tüi)
Vpp
D
B C
B
A
5.34| VLSI and
Chip Design
Voo
(v)
B
Problem3
How to implement F= ab + be + ca using static CMOS logic.
Solution:
F= ab + bc + ca F = ab + bc + ca
VoD
C
a
GND
CMOSDesign |5.35
Problem4|
Realize the following function Y= (4 + B) D +E using static CMOs logic.
APR/MAY- 2019)
Solution:
Voo.
VDD
EL Y=(A+ BC) D +E
GND
B
EE
AHL
i=l
The normalized delay d'relative to the inverter delay '.w.r.t Elmore delay is
expressed as,
d
T
[MAYIJUNE -2016]
Elmore delay expression
for propagation delay
of a CMOS inverter is given as,
t, = 0.69 R
where, Req
Rea (Cm
t Ce)
i the equivalent path resistance.
C;jnt
is the intrinsic capacitance,
and
Co
is the extrinsic capacitance.
CMOS Design
Indynamic CMOS, the output of the gate depends on temporary storage of signal
values on the capacitance of high impedance circuit nodes..The resulting gate is
simpler and faster. It is a design methodology in an integrated circuit design in
0
that it uses a clock signal in its implementation of combinational logic circuits.
8 What is PUN?
A device connected so as to pull the output voltage to the high supply voltage
usually Vpp is calledPull Up Network (PUN).
VLSI and Chip Design
5.38|
9. Define PDN.
A
device connected so as to pull an output voltage to the lower supply voltage
usually 0V is called Pull Down Network (PDN).
Bubble pushing is the method of representing the Boolean functions of AND and
OR gates using NAND and NOR gates.
A#B –
A A-B
B
=
A+B A.B
NOR
Inverted AND
15. Draw 4-input NAND and NOR gates using Pseudo -nMOS logic.
VDD
VpD
Y=ABCD
-o Y A QN1
B QN2
QN3
D QN4
nMOS and pMOS sizes that is 2 then the logic is called ratioed logic.
B,
Chapter6o
PASS-TRANSISTORS LOGICn 65
6.1.1 Introduction
* Pass -Transistor Logic (PTL) describes several logic families used
in the design
of an integrated circuits. It is a popular and widely used alternative for the
complementary CMOS.
PTL are generally superior to CMOS circuits in terms
of delay and power
consumption.
a Definition
In PTL, the transistors are used as switches to pass logic levels between the
nodes ofa circuit, instead of those switches connected directly to supplyvoltages.
This reduces the umber of transistors which is used to make differet logic gates
byeliminating the redundant transistors.
Switching
Network
B
A
f
B
AND NAND
2
g=1
Input |Output
Gate (control)
g=1
Strong 0
g=1
Pass o o Out Degraded1
(In)
g=1
d
Input
g=0
Degraded 0
ti
1
g=0 Strong 1
r:
(e)
as pass transistor
Fig 6.3 pMOS
VLSI and Chip Design
6.4
an even simpler structures, but miok,
nMOS-only pass- transistor logic produces
noise margins. This problem
suffer from static power consumption and reduced
can be addressed by adding a level-restoring transistor.
a PTL Families:
-F=AB
Fig6.4 Pass
transistor implementation
The Fig 6.4 shows
an implementation ofan AND gate
transistors.
In this gate, of an AND.function
and copies the
if the B input using only nMO
input A to the is HIGH, the top transistor
output F. is turned
ONO
When B
is LOW, the
bottom
transistors require pass-transistor
lower switching isturned ON
reduced voltage swing. energy and passes a 0.
Pass-
to charge up a
node, due to the
Pass -Transistors Logic 6.5|
A The implementation of the AND gate in Fig 6.4 requires 4 transistors including
the inverter required to invert B, whilea complementary CMOS implementation
would require 6 transistors. The reduced number of devices has the additional
advantage of lower capacitance.
Pass-transistor gates cannot be cascaded by connecting the output
of a pass gate
to the gate input of another pass-transistor.
CVSL uses a pMOS cross-coupled latch as the load, it cannot work as fast as
expected because it cannot be easily inverted due to the regenerative property
of the latch.
drawback can be overcome by the Complementary Pass-transistor
This
Logic(CPL).which resolves this problem by making one halfof the gate pulls
up while the other half pulls down.
A Definition of CPL
The tern "Complementary Pass-transistor Logic" (CPL) is used to indicate a
Sple of implementing logic gates where each gate consists of a nMOS-only pass
Iransistor network. followed by a CMOS output inverter. Hence, the pMOS cross
Coupled latch can be removed.
VLSI and Chip Design
6.6
Dual-rail
function
variables
Dual-rail
outputs
Dual-rail
function fnetwork
Optional
variables
.0 Output buffers
(output stage)
(Dual-rail) control
variables
The two nMOS networks function as pull-up and pull-down devices; hence, the
pMOS cross-coupled latch can be removed.
The output buffers are used to restore the signals to the desired voltage levels in
order to compensate for the VT, loss due tothe pass transistors.
6.1.4 Examples
Example 1
f= xy
This can be expanded with respect to variable 'y' into
the following:
Digital logics:
Demorgan's law
A = A-A =A.1
f= yy +y •x
(2) AND Logic:
Similarly, the
f network for two-input AND gate is expressed as
f=xy
=
yy+ x
f=xy
f=xy
VLSI and Chip Design
6.8
2
FEXample
O Solution:
network for
Based on the general block diagram of
CPL, the f
() XNOR Logic:
expressed as,
two-input XNOR gate is
f= xy
|7-tyx
gate is
thef network of CPL for two-input XOR
(i) XOR logic: Similarly,
expressed as,
x y
f=
f=x*y
6.1.5 Properties
The properties of CPL are:
(0) Differential
Complementary data inputs and outputs are always available (so no exu
inverters are required).
slgn Logic
Pass -Transistors
6.9
) Static Gate
opI. belongs to
the class or static gates,
because the output defining
ars tiedto VpD (or) GND through a
low resistance path. This
nodes are
is advantageous
for the noise resilience.
POWER DISSIPATION
power dissipated in
a
CMOS
extra components that can establish the amount of
circuitare,
VLSI and Chip Design
6.10
Dissipation
(2) Dynamic Power discharge an
circuit switching to charge and
Power dissipation is due to the
at a particular node at an operating
frequency is called
output load capacitance
P dynamic
= P Switching short circuit
...(2)
VoD
Vin Oo Vout /
& During switching to 0) state, both nMOS and pMOS transistors are
(0 tö 1 (or) l
ON for a short period of time. This causes a short current pulse from Vpp to
.
Vs In order to charge and discharge an output capacitive load, the current is
also required.
Vpp -Vout Rp
i(t)
Vout
+
in(t) Vout
Vout Rn
dVout
iG(t) = CL
dt
TI2 T
0
Vout A
TI2 T
Pacl)
0 TI2
VpD
dV out
dt + i,() =
=
T out
dt -C dt
VpD
d(Vpp-Vou) d(Vpp-Vou
T
(Vop-V out) dt i,() = CL
0
dt dt
YDD Vpp
| Vout dVout t (Vpp-Vout) d(Vpp-V ou)
T
Logic
Pas -Transistors 6.13|
4 Equation (1) represents that the dynamic power dissipation is due to the charging
and discharging which is proportional
to the square of supply voltage and
linearly proportional to both loading capacitance and an operating frequency.
For a repetitive step input the average power that is dissipated is proportional to
an energy required to charge as well as discharge the circuit capacitance.
Energy =
C, V ... (2a)
DD
K (Vam-2 V,)
.. (3)
Posog
K isa constant.
to the
short-circuit power dissipation is linearly proportional
Consequently, the
times of
an input signal. To reduce this, both rise and fall
rise and fall times of
as
an input signal should be made as small possible.
DynamicVoltage/
6.3.3 Dynamic Voltage Scaling (DVS) [OR]
DFrequency Scaling (DVES)ik
a Definition of DVS
Dynamic Voltage Scaling (DVS) is a power management technique in computer
or
architecture, where the voltage used in a component is either increased
decreased, depending upon circumstances.
DVS is used to increase voltage is known as overvolting whereas DVS is used to
decrease voltage is known as undervolting.
Switching
SV Voltage
2197 ,2 orie Voltage Control Regulator
VoD
Freg Control
DVS
Work load
Controller Core Logic
Temperature
4 The DVS controller determines an operating frequency, then chooses the lowest
supply voltage which is suitable for that frequency. One method of choosing the
voltage is with a precharacterized table of voltage vs frequency.
pMOS pMOS
Vn=0 Vin=1
NMOS nMOS
Vout
o
VpD
(GND) |G
D s
nt
p - WELL n -WELL
D4
n -
SUBSTRATE
... (1)
where, I, - Reverse saturation
current.
V- Diode voltage.
Pass IranSistors
Logic
6.17
q - Charge of an electron.
k - Boltzmann'sconstant.
T - Temperature.
The static power dissipation is the product
of device leakage current and the
supply voltage.
-M:
P.
static leakage current x supply voltage (Vpp)
1
... (2)
Pstatic L,ub
t gate + ;punct t contention VDD
Header switch
VDD transistors
Sleep
Sleep
Vppv
Outputs
Inputs
Power
o00
Gated
Block
Output
isolation
to VoD.
When the block goes to sleep, the header switch turns OFF and allowing Vppy to
float and gradually sink towards 0. As this occurs, the outputs of the block may
take the voltage levels in the forbidden zone.
# The output isolation gates force the outputs to a valid level during
sleep so that
they do not cause problems in the downstream logic.
() Activity factor a.
1) Transistor Size:
Thetransistor size should be reduced so
that the number of transistors that can
fit on a chip can be increased. process
The manufacturing should be first
selected to minimize the size of transistor to
provide narrow channel width.
This inturn lowers the capacitance the channel,
of then
Static power increases as threshold voltage decreases.
Expensive.
For example, reducing Vpp from 2.5 V to 1.25 the power dissipation
V, then
drops from 5W to 1.25 W. This is assume that the same clock rate can be
sustained.
This further reduces the speed for advanced processes. The voltage and clock
frequency can also be adjusted to save power.
(3) Higher and Lower Power Groups:
O Divide the logic into higher speed and low power groups and operating from
can also be embedded in each logic
Separate power supply. Dual supply rails
cell.
ast logic is connected to high supply and slow logic is connected to the low
two logics.
Supply. Level conyerters can also be inserted between these
VLSI and Chip Design
6.20|
wire capacitance.
which minimizes the
Gate capacitance can be reduced by good floorplanning
number of
long wires in a system.
turn
Diffusion capacitance can be reduced by providing good buyout which in
minimizes the size of
the diffusion regions.
Custom layout of data paths and arrays provides shorter wires and lower
capacitance.
o The activity factor (c:) is used for reducing the power dissipation. The high
Dynamic Logic
0.5
Clock 1
Dynamic and pseudo-nMOS gates have low power dissipation because they
eliminates the bulky pMOS
in complementary CMOS and pass transistor
circuits are also efficient due to their low power consumption.
Pass
Iransistors Logic
6.21|
TWO MARKS QUESTIONS
6.6 AND ANSWERS
1. What is PTL?
T. Dass
Transistor Logic (PTL),
the transistors are used as
switches to pass logic
levels between the nodes of a circuit,
instead of those switches
to supply voltages. connected directly
This reduces the number of transistors
which is used to make
different logic gates
by eliminating the redundant transistors.
2hy nMOS device conducts strong zero
and weak one? [NOVDEC-2018)
A nMOS transistor is an almost perfect swicth when
passing a 0 and thus we say
it passes a strong0 but acts as an imperfect
swicth at a passing 1. Because high
voltage level is somewhat less than Vpp
because of threshold effect
(Vo=Vpp– VTH) and we say it passes a degraded or weak 1.
Example: when Vpp is 5V,but in weak 1 we may get 4.4 V
3../ Why nMOS transistor is selected as pull down
transistor? [NOVDEC-2017|
In CMOS pull up is nMOS transistor and pull down is pMOS transistor. When
logic 1 is applied as input, nMOS transistor turns ON and pMOStransistor turns
OFF. Hence, the output should get charged to VpD.
But due to threshold voltage effect, nMOS is not capable of passing VDD for good
logical 1 at the output that is Vo = VpD - VTH(degraded 1). Hence, the output
should get discharged to ground level.
6. Define CPL.
7. Write the
properties of CPL.
The properties of CPL are:
() Diferential
Complementary
data inputs and outputs
inverters are required). are always
available (so no extra
(ii) Static Gate
CPL belongsto
the class of
always tied static gates,
to VDo (or) because
GND through the output defining
for the noise
resilience.
a low resistance nodes are
path. This
(ii) Modular is advantageou
Design
The design
isvery modular,
permutated all gates use
(varied). the same
More This makes topology,
complex the design
gates can only the
modules. be built of a
library inpuls
by cascading of gates very simple.
the standard
pass-transistor
Pass -Transistors Logic
6.23
(iy) Simple Logic Style
CPL s a conceptually simple and modular logic style. It depends strongly on the
logic function to be implemented. SimpleXOR
makes it attractive for structures
like adders and multipliers.
8.
List the types of power dissipation.
NOVDEC- 2017, APRMAY-2018]
(or)
(ii) By adjusting the body bias that is adjusting FBB (Forward Body Bias)
in active mode to an increase performance and RBB (Reverse Boay
Bias) in standby mode toreduce the leakage.
Chapter 7
SEQUENTIAL LOGICCIRCUIT DESIGN
7.1 INTRODUCTION
*lt requires memory to store the previous input values, called states. In this
circuit, an output is given as feedback to the input. So, these circuits are called
regenerative circuits. Example: Registers, Oscillators, Counters etc.
Inputs Outputs
Combinational
circuit
Memory
as a
Fig 7.1 shows the block diagram of sequential circuits. It can be treated
Finite State Machine (FSM) that consists of combinational logic and registers.
which stores the system state information.
a outputs of
Here all registers are under the control of single global clock. The
state.
the FSM are a function of the current inputs and the çurrent
current inputs and
The next state is determined based the current state and the
on
Combinational Outputs
Inputs
logic
circuit
Current Next
state state
Registers
CLK
|7.3|
rising edge of the clock, the next
On the
state bits are
registers (after some propagation copied to the outputs of the
delay), and a new
cycle begins. The register
then ignores the changes in the input
signals until
the next rising edge.
Deoisters can be
the rising edge of
posiive edge triggered registers the - input data is copied on
the clock (or) negative edge
triggered registers - theinput
is copied on the falling edge data
of the clock.
1.1Classification of Memory Elements
The memory elements can be classified as follows:
Ir D Out
In D -Out
JcK CLK
Fig 7.3
a Register:
a register. i.e., the input is
An edge triggered storage element is called
transferred to the output at the edge
of
clock signal.
1 transition
Positive edge triggered register: 0
Negative edge triggered register:
1 0 transition
The word “ register" often means memory elements in digital systems. A
a Flip-flop
A latch or flip-flop is a device that is capable of storing -bit information. A
Vo2
Vo2 = Vi1
Vot
=
Vi2
JA
Vo1
=
Vi2
Vi1 = Vo2
(c)
of twocascaded inverter
Fig 7.5 VTC
VLSIand Chip Design
|7.6|
to the
assume that the output of the second inverter Vo2 is Connected
Now,
inverter Vi., as shown by the dotted lines in Fig 7.4.
input the
of first
showe
two cascaded inverter (basic bistable element)
The resulting VTC of
is shown in Fig 7.5 (c).
three pössible operating points
curves intersects at three points(A, B, and C)
.Ifthe
The two voltage transfer
will remain in its state unless forced
operating at any stable point, it
Circuit is
externally to change its operating point.
required
operating point a sufficiently large external voltage is
To change its
greater than unity.
to make voltage gain of inverter loop
small
cross- coupled inverter pair is biased at point C. A
Suppose, this
from this bias point is caused by noise. It is amplified and
deviation
the circuit loop. As a results, the gain around the loop
regenerated around
being larger than 1.
a metastable
the only stable operation points, and is
C
Now, A and B are
every deviation (even the smallest one)
operating point. Metastable means
causes the operation point to run away fromits original point.
a
The cross coupling of two inverters results in bistable circuit that
is a circuit
serves
with two stable states, each corresponding to a logic state. The circuit
as a memory, storing either
al (or) a 0.
7.2.2.Multiplexer-Based Latches
-The feedback is removed in the bistable circuit and a new input value can be
easily written into output (Q). Such a latch is called multiplexer based latch,
it realizes that the logic expression for a synchronous latch is identical to
multiplexer expression. The logical expression
is written as,
Q = CLK
0+CLK. In
Thetransmission gate multiplexers are used the
to build a latch. Fig 7.6 shows
implementation of positive and negative
static latches based on multiplexXeI
Seguential1Logic Circuit Design
7.7
D
0 D
CLK
CLK
(a) Negative latch
(b) Positive latch
() Negative Latch
For a negative latch
(6) When clock = HIGH, nput 1 is connected to the output of the latch., Then the
feedback ensures a stable output as long as the clock is HIGH.
(ü) Positive Latch
(a) When clock = LOW, the output signal is feedbackto the input.
and passed to the output.
(b) When clock = HIGH, the D input is selected
CLK
M1
CLK
D
M2
T
CLK
QM
D QM
CLK
hold
CLK is LOW, feedback loop is enabled and the latch will be in the
mode.
in
This simple circuit but the use of NMOS-only pass transistors results
is a
the passing of a degraded high voltage of Vpp the first
-V, to the input ofSwitching
inverter. This will affects both
the noise margn and the
performance.
Seguential Logic Circuit Design
79
CLK
CLK
Slave
Master
aM
D
CLK
CLK
CLK
|QM
CLK
At very low power supply voltages, the input to the inverter cannot raised above
the switching threshold, it will result in an incorrect output. Thus the threshold
voltage should be scaled properly.
Multiple threshold devices can be used to eliminate high leakage during idle
periods.
(1) Multiple -Threshold CMOS
A multiple threshold device of negative latch is shown in Fig 7.13. Here.
as
shaded inverters and the transmission gates are implemented low-threshold
devices. Then, the low-threshold inverters are gated by using high-threshold
devices in order to eliminate leakage.
are turned ON. When
During the normal mode of operation, the sleep devices
the clock is LOW, the D input is sampled and
propagates to the output. The
clock is HIGH.
latch is will be in the hold mode when the
crosS-coupled feedback is
The feedback transmission gate conducts and the
extra inverter, in parallel with the low- threshold is added to store
enable. An
sleep (idle) mode.
the state when the latch is the
in
VLSI and Chip Design
|7.12|
VpD
VoD VpD
sLEEPHigh Vr
SLEEP High V CLK
D Q
SLEEP
HighV
SLEEP High V
CLK CLK
VDD
It is assumed that CLK is held HIGH when the latch is in the sleep state.
Thus, the feedback in low-threshold transmission gate is turned ON and the
Inputs Outputs
R
1 1
RQ
1 0
R Forbidden
41 1
state
A Characteristics Table:
The characteristics table is the truth table of the gate and lists the output states
as the functions
of all possible input conditions.
2) NAND Based SR Flip- Flops
R Q
SR flip-flop
Fig 7.15 NAND-based
The circuit S and R
inputs:
responds to active low
goes to 0 (while R
=1), Q goes HIGH, pulling LOW and the
IS
lip-flop enters the Set state.
goes HIGH, pulling Q
LOW and the flip-
goes to 0 (while S
=1),0
Ilop enters the Reset state.
VLSI and Chip Design
7.14
IfS= R= 0, this
ie
same states willbe hold.
When both S and R to be HIGH.
an state.
not allowed, it would result in indeterminate
Q
R
1 1 No change
1
1 0
1
1
Invalid
() Its complexity.
(i) When registers are used in computational structures that are constatly
clocked.
(i). fthe memory requires holding state
for extended period of time, then
static latches cannot be used.
Dynamic gates are used
to decrease complexity, increase speed and low power
dissipation.
In dynamic latches, the charge is stored on
parasitic capacitors temporarily•
the charge is present it represents
represent 0.
1' and if charge is absent, u
A Dynamic Register
Due to charge
leakage in capacitor, amount
the charge is stored a limited
of time
(order of ms). The
capacitor should be
for
obtain
signal integrity. periodically refreshedto
Soit is called as
dynamic storage.
Saguenitial'ILogic
L Circuit. Design
7.15
There are three types of dynamic registers.
Dynamic Transmission-Gate
Edge- Triggered
Register.
(i1) Clocked CMOS(CMOS)
Register.
(i)
. True Single- Phase Clocked Register
(TSP CR).
CLK CLK
A
B
<HH
D : T;
HH
CLK
CLK
Slave
Master
positiveedge- triggered register
Fig 7.17 Dynamic
i) CLK=1
gate T, is ON and T, is OFF.
On the Tising edge of clock, transmission
1 at CLK = 0
is propagated to the
Hence, on node
the value sampled
output Q.
node-1.
Thus, node -2 stores the inverted value of
VLSI and Chip Design
7.16
A Setup Time
this circuit is simply the delay of the transmission gate and it
The setup time of
to sample the D input.
corresponds to the time taken by node -l
A Propagation Delay
the
equal to two inverter delays plus the delay of
The propagation delay is
transmission gate T;.
Advantages
transistors. E
implementation is achieved due to the use of only eight
() efficient
An
implementation is
pass transistos are used, then six-transistor
NMOS-only
achieved.
low power systems.
(i) Used in high performance and
M2 M6
CLK CLK
M4 Mg
X Q
D
CLK
M3 M7 HH CL2
CLK
M, M5
Master Slave
(ü) CLK = 1
o
The transistors M, and M, are OFF and the master is in the
hold mode. The
transistors M, and M, are ON and the slave is said to be in evaluation mode.
The value stored on capacitor C, is transmitted to the output node through the
) Single Phase
Positive Latch
o
Figure
7.19 shows the single phase positive clocked register which uses a
single CLK
signal.
VLSI and Chip Design
7.18
(0) CLK = 1
VpD
When CLK is HIGH, the VpD
(iü) CLK= 0
Both inverters are in OFF
condition and the latch is in positivelatch
Fig 7.19 Single phase
hold mode. Only the pull-up
networks are still active, while
are deactivated. As a result of the dual-stage approach,
the pull-down circuits
input of. the latch to the output in this
from the
no signal can ever propagate
mode.
UP CLK
O/P
VpD VpD
PUN
In CLK
PDN
Qut
CLK
CLK
Positive latch
ANDgate
Advantages
are,
The advantages of TSPCR
clock phase to avoid overlap.
) Using single
latches.
embedding logic functions into the
(i) Possibility of with thè latches is
reduced.
overhead associated
(ii) Delay
3 Disadvantages
TSPCR are,
The disadvantages of
increase.
Number of required transistors will
(i)
by isolating dynamic nodes
occurs. This can be avoided
(ii) Charge sharing
with static inverters.
circuit.
(iii) Complex
Water Water
Water Pipe
in out
a Definition of Pipelining:
where the
A
pipeline is a set of data processing elements connected in series,
Can be
output of one element is the input of the next one. Here, a data stream resulls
continuously applied to a computational circuit in a regular way to yield
ina sequence.
Sequential Logic Circuit Design
7.21
The pipeline design technique decomposes a sequential process
into several sub
process, called stages (or) segments.
A stage performs a particular function and
produces an intermediate result.
Clock
Processing circuits
REG|
CLK --Out
b
CLK
CLK
REG
Log -Out
CLK
b
CLK CLK CLK
CLK
(b) Pipelined version
Fig 7.25(a).
- adder
Absolute
value
Logrithm
log
(a + b) I(a + b)| log l(a + b)l
|(a + b)|
7.23|
Clock Period Adder Absolute
1 Value Logarithm
a+b
2 +b
3
+b
la,t b log la,+b;)
4 +ba
la,+ b log (a, + b,)
5
+bs la, + bal log (a, + bD
Table 7.I Example of
pipelinedcomputations
Clock
CLK sloent)
CLK
In G
F
C3
CLK
CLK
Compute F Compute G
operation is obtained.
o
the falling edge of CLK and the computation of logic block G starts. The
overlapping of the clocks ensures correct operation.
being
When overlap exists between CLK and CLK, the next input is already
That is,
applied to F, and its effect might propagate to Cz
before CLK goes low.
the
a race develops between the previous input and the current one. Based on
logic and a function of the applied inputs, one input will be processed.
Seguential Logic Crcuit Design
7.25
7.4.4 NORA-CMOS Latches
The latch-based pipeline circuit is implemented by using
C²MOS latches is called
as NORA-CMOS latches which is shown in Fig 7.29.
C'MOS-based pipelined circuit is race free as long as all the logic
A
functions
between the latches are noninverting.
VoD VpD V
CLK
In Out
G
CLK
enCLKJ
CLK
CLK|
CMOS inverter
Advantages
a Definition
use of positive feedback
Schmitt trigger is comparator circuit that makes
a
A
in the input lead to large changes in the output in the same
(small changes
phase) to implement hysteresis and is used
to remove noise from an analog
one.
signal while converting it to a digital
displays hysteresis in their dc characteristic and fast transitions in their
It suppress noise.
transient response. They are mainly used to
in out
VoL
Vout
Vint
VM+
VM
to +tp t
t
M2 M4
Vin
Vout
M4
Advantages:
The main advantages of Schmitt trigger are,
() It turn noisy or slowly varying input signal into a clean digital output
signal.
(ii) It reduces power consumption by suppressing the direct-path currents.
VLSI and Chip Design
7.30
DELAY
Qut
one slhot
Fig 7.34 Transition- triggered
are identical and the output goes to
In the quiescent state, both inputs tothe XOR
on causes the XOR inputs to differ temporarily anu
LOW. A transition the input
After a delay
,
the output goes to HIGH.
of
the delay element, this disruption is removed
and again
VDD
M2
In
M4
Ventl M3
on current-starved inverters
Fig 7.35 Voltage-controlled oscillator based
5.0
4.0
(nsec)
3.0
tpHL
2.0
1.0
0.0
0.5 1.0 1.5 2.0 2.5
Vctri (V)
The ability to alter the propagation delay per stage allows us to control the
frequency of the ring structure. The control volatge is generally set by using
feedback techniques.
This solve this problem by feeding its output into a CMOS inverter or a
Schmitt trigger. The later is the better one. Anextra inverter is needed at the
end to ensure that the struture oscillates.
(3) Differential Delay Element
Vo
Vo
in +
- in
Vctrl
V3
V1 i+ 0+ 0+
T
V2
2.5
2.0
Volts
1.5
1.0
0.5
0.0
-0.5
0.5 1.5 2.5 3.5
Time (ns)
) Synchronous,
(ii) Mesochronous,
(iii) Plesiochronous, and
(iv) Asynchronous
A synchronous signal is one that has the exact same frequency as the local
clock and maintains a known fixed phase offset to that clock. In such a timing
framework, the signal is * synchronized" with the clcok, and the data can be
sampled directly without any uncertainty.
In digiatl logic design, synchronous systems are the most straightforward type of
interconnect. The flow of data in such a circuit proceeds in lockstep with the
sysetm clock, which is shown in Fig 7.40.
CLK
t In Combinational 37Out
R1 R2
Cin Logic Cout
a
Fig 7.40 Synchronous interconnect methodologY otin
In the Fig 7.40, the input data signal ln is sampled with register.Ry to produce
to
signal Cin that is synchronous with the system clock, and then it is passed the
combinational logic block.
After a suitable setting period, the output Cout becomes valid and its value is
sampled by which synchronizes the output with the clcok.
R
VLSI and Chip Design
7.36
7.6.3 Mesochronous Interconnect
a Definition of Mesochronous Signal
as
mesochronous signal is a signal that not only has the same frequency
A the
local clock, but also has an unknown phase offset with respect that
to clock.
domains, then
For e mple,if data are being passed between two different clcok
signal transmitted from the first module can have
an unknown phase
the d
relationship to the clock of
the receiving module.
the
A mesochronous synchronizer can be used to synchronize the data signal with
serves to
receiving clock, which is shown in Fig 7.41. Here, the synchronizer
adjust the phase of the received signal toensure proper sampling.
Variable
Delay Line
, D3
R1
Interconnect R2 Block
Block A
Delay Dz D4
ClkA
Clkg
Control
o. In the Fig 7.41, the signal D, is synchronous with respect to Clk,, but D and D
are mesochronous with Clk, because of the unknown phase difference between
Clk, and Clk, and the unknown interconnect delay in the path between Block A
and Block B.
R,
7.37
4 Register samples the incoming
data during the
signal D4 becomes synchronous certainty period, after the
with Clk,.
Originating Receiving
Module FIFO Module
ne timing recovery unit is responsible for deriving clock C; from the data
Sequence and buffering the data in a FIFO.
VLSI and Chip Design
|7.38
he
synchronous with the data at the input of the. FIFO andit will
Then C3 will be
Since the clock frequncies from the originating and
mesochronous with C. transmit
modules are mismatched, data might have to dropped if the
receiving
or can duplicated if the transmit frequency is slower
frequency is faster, data be
To handle asynchronous signals, simply to eliminate the use of local clocks and
utilize a self-timed asynchironous design approach.
a
In such an approach, communication between modules is controlled through
handshaking protocol that ensures the proper ordering of operations.
Req DV 1
DV
Interconnect Circuit Interconnect Circuit
Ack
Handshaking
signals
Fig 7.43 Asynchronous
design methodology for
sinple pipeline interconnect
Sequential Logic Circuit Design
7.39
InFig 7.43, when a logic block completes an
operation, then it will generate
completion signalDV to a
indicate that output data are valid.
The handshaking signals
then initiate a data transfer to
the next block, which
Jatches in the new
data and begins a new computation
by asserting the
initialization signal I.
Advantages
The advanatges of asynchronous designs
E Disadvantages
Increased complexity and overhead in communication, which impacts in
performance.
7.7SYNCHRONOUS DESIGN
R1 R2
Combinational
In - D Logic
are
Assume that the following timing parameters of the sequential circuit
available:
(ii) The setup ) and hold times (hol) for the registers.
(ii) The contamination delay (tor e cd ) and the maximum delayelog ic of ti
combinational logic.
(iv) The positions of the rising edges of the clocks CLK,
and CLK2 cLk,
respectively), relative to a global reference.
In an ideal condition period is
that is tcLk, =lcLk, : the minimum clock
required for this sequential circuit to
determine the worst case propagatior
delays.
...(1)
At the same time, the hold time of the destination
register must be shorter
than the minimum propagation delay through
the logic network:
thold Io-q,cd tlogic,cd (2)
The clock signal is never be ideal, As a result
of process and environmental
variations,the clock signal can have both spatial and temporal variations,
that
lead to the perfornmance degradation and circuit malfunction.
a Definition
The spatial variation in arrival time ofa clock transition on an integrated circuit
is commonly referred as clock skew.
TCLK +8
TcLK
1) 3
CLK4
CLK2 4
+tn
on
Fig 7.44 Timing diagram of clock skew performance
and functionalitywhen 8 >0
T (4)
The potential
above equation represents that
the clock skew actually has the
to improve the performance of period
the circuit. That is, the minimum clock,
required to operate the circuit reliably
reduces with clockskew.
increasing
Unfortunately, an increasing race
skew makes the circuit more susceptibleto
conditions, which may affect
the correct operation
of sequential syste
Sequential Logic Circuit Design
7.43|
Assume again that the input In
is sampled on the rising edge
of CLK at edge
O into R1. The new value at
the output of R1 propagates through
the
combinational logic and should
be valid before edge at CLK).
If the minimum delay of the combinational logic
R2 may change before the clock edge
To avoid races, we must ensure. that
,
block is small, the inputs to
resulting in an incorrect evaluation.
TCLK + 8
TcLK
3)
CLK4
CLK2
Figure 7.45 and equation (4) clearly represents that a negative skew impacts
the performance ofa sequential system.
7.44 VISI and Chip Desien
R1 R2 R3
a Combinational Combinational D
In D
Logic
Ho
a Logic
tcLK3
CLK tcLK1 tcLK2
delay delay
(a) Positive skew
R1 R2 R3
Combinational Combinational
In D
Logic D
Logic
LA
CLK tcLK1 tcLK2 tcLK3
delay delay
(b) Negative skew
Negative skew
Positive skew
CLK
Clock distribution
Definition
Clock jitter refers to the temporal variation of the clock period at a given point
on the chip tht is the clock period can be reduced (or expand on a cycle-by
cycle basis. It is strictly a temporal uncertainty measure and it is specified at a
given point.
Jitter can be measured and characterized in a number of ways and it is
5 zero-mnean random variable.
TcuK
CLK
4 Gitter
-Gitter
6
Combinational
In Logic
CLK tiogic
togic, cd
tç-q,lo-qcd
tsu, thold
Giter
o
Ideally, the clock period starts nominal
and ends at edge5), with a
at
edge
clock period the
of TCLK. In the worst case scenario, the leading edge of
current clock is delayed by jitter (edge
), while jitter causes the leading
edge of the next clock period to occur
early (edge 0).
As a
result, the total time available to complete reduced by
the operation is
2titor in the worst case and it is given as,
Sequential Logic Circuit Design
7.47
lcLk -2t jitter 2e-g t+ Iogie tgu (or)
Tox 2, tioge tm+2 jumer
jitter .(7)
Equation (7) represents that jitter
directly reduces the performance
of a
sequential circuit.
7.7.2Clock-Distribution Technigues
The clock skew and jitter are the major
issues in digital circuits, and can
fundamentally limit the performance. It is therefore necessary to
design a clock
network that minimizes both,
important.
is H-tree network for a
The most common type of clock distribution scheme
4x4 processor array, which is shown in Fig 7.49.
on the chip. Balanced paths that
The clock is first routed to a central point
distribute the reference to
include both matched interconnect and buffers then
the various leaf nodes.
VLSI and Chip Design
|7.48
datapath
en-bit
>n-bit datapath
datapath
on-bit
->n- bit datapath
n- bit datapath
>n-bit datapath
Delays have to match
between stages
>n-bit datapath
n- bit datapath
CLK
If each path is perfectly balanced, the clock skew will be zero. The H-tree
configuration is particularly useful for regular array networks in which all the
elements are identical and the clock can be distributed as a binary tree.
GCLK
Driver
Driver
GCLK GCLK
Driveris
|GCLK
8
SELF-TIMED CIRCUIT DESIGN
7.8.1 Self- Timed Logic - An Asynchronous Technique
In an
asynchronous design approach, eliminate all
the clocks which are used in
Synchronous approach.
ITensures a correct circuit operation
that avoids all potential race
under any operation condition conditions
and input sequence requires a
analysis of the network. careful timing
|7.50 VLSI and ChipDesign
In R1 F1 R2 F2 R3 F3 Out
a Properties
When compared with the synchronous approach,
self-timed circuits have
following properties.
and
When receiving the request, the receiver accepts the data when possible
have been
produces an event on the Ack Signal to indicate that the data
accepted ).
VLSI and Chip Design
7.52
On
the receiver is busy or its input buffer is full, no.Ack event is generated
If
event produced, the transmitter goes ahead and produces the next dns.
the Ack is
word ).
2
Req
Ack
Reg
Data. 1
Ack
SENDER RECEIVER cycle 1 cycle 2
Data
Sender's action
Receiver's action
(a) Sender-receiver configuration (6), Timing diagram
1
a Definition:
The Muller C-element is a small
binary logic circuit widely
of asynchronous used in design
circuits and systems. It outputs 0
outputs l when all inputs are
when all inputs are U, lr
1, and it retains
.
itsoutput state otherwise.
It performs an AND-operation on events,
The output of the C-element
copy is a
of its inputs when both inputs are identical. When the
inputs differ, the
output retains its previous value.
An essential component
of virtually any handshaking module is the Muller
C-element. Its schematic symbol and
truth table is shown in Fig7.54.
A B Fn+t
A 0
1
F Fn
0
Fn
B
1 1 1
Assume that Rea. Ack and Data Ready are initially 0. When the sender wants to
transmit the next word, the Data Ready signal is set to 1,
which triggers the
C-element, because both its inputs are at 1. Req goes HIGH (Reqt).
Now the sender
is in wait mode, and control is passed to the receiver..The
C-element
is blocked, and no new data are sent to the data bus.
VLSI and Chip Design
7.54
Once the transmitted data processes by the receiver, the Data accepted signal i
raised. An Ackt ensure this and unblocks the C-element which passes the
control back to the sender.
Data Receiver
Sender
logic logic)
Data ready Data accepted
Re
Ack
Handshake logic
Inputs
Combinational Outputs
circuit
Memory
Sequential. Logic Circuit LDesign
7.56
Registers
S.No Latches
clock rises.
It stores data when
2. It stores data when clock is low.
information
register retains the
A latch losses
the information A
3
on to the next until cleared.
data) when passed
device.
7. What flip-flop?
is
a single bit information. A
that is capable of storing
a
A flip-flop is device
a number of flip-flops. To be more
specific
register is a device that consists of
n flip-flops. Hence, a flip-flop is a single bit
specific, an n -bit register contains
register.
10. flip-flop.
Compare latch and
[APRMAY-2019, NOVDEC-2020& APR/MAY-2021/
1.
It is level triggered that is, outputs It is edge triggered that is, only
can change as soon as the inputs changes state when a. control signal
changes. goes from high to low or low to
high.
2.
It does not required clock signal. It requires clock signal.
CLK CLK
B
D
CLK CLK
Master Slave
VLSI and Chtp Deson
ta eniesignal.
the direct-path currents.
g
(ii) It reduces power consumption by suppressing
VLSI and Chip Design
|7.60
etc.
Example: ring oscillator
28. List the timing classification of digital systems.
[NOVDEC-2020 & APRMAY-2021]
Signals that transition only at predetermined periods in time with respect to a
i) Synchronous,
(ii) Mesochronous,
(iv) Asynchronous.
A mesochronous signal is a signal that not only has the same frequency 2s
local clock, but also has an unknown phase offset with respect to that clock.
then
Forexample, if data are being passed between two different clock domains,
phase
the data signal transnmitted from the first module can have an unknown
relationship to the clock of the receiving module.
Sequential Logic Circuit Design 7.61|
A Fn+1
1
-
Fn
0
En
B
1 1
[APR/MAY-2017|
10. Discuss in detail various pipelining approaches to optimize sequential circuits.
() Ring oscillator,
(ii) Voltage controlled
Oscillator, and
(ii) Differential delay
element.
17. Explain the timing
basics and clock
design in detail. distribution techniques
in Synchronous
NOVDEC-2017|
Sequential Logic CircuitDesign 7.65
18. Explain the concept of timing issues and pipelining. [APRMAY-2017]
10. Design a
clock distribution network based on H-tree model for 16 nodes.
NOVDEC -2018]
20. Discuss in detail about self-timed logicwith neat diagrans.
21. List out the properties of
selftimedcircuits.
22. Write noteon Muller CCelement.
UNIT INTERCONNECT, MEMORY
ARCHITECTURE AND
IV ARITHMETIC CIRCUITS
Chapter 8
INTERCONNECT
Shape,
Environment,
8.2
insulatino
substantially larger than the thickness of the
is
If the width of the wire electrical field lines are orthogonal +.
assumed that the
material, then it may be parallel-plate
plates and its capacitance can be modeled by the
the capacitor
as area capacitance.
capacitor model which is also called
Current flow
W Electrical-field lines
tdi Dielectric
Substrate
Cint ..
(1)
Ctinge
Cpp
Cwire + Cfringe
w Edi 2 Edi
... (2)
tai log (a/H)
(pF/cm) H/tai = 1
= 0.5
Capacitance H/tj
0.4
Cp-p
0.2
0.1
.
0.1 0.2 0.4 0.6 1 4 6 10
2
WTdi
fringing
parallel
1 um field oxide
1 um metal
1 um sin cap layer
(pF/cm)
Ctotal
Capacitance
Cinterwire
Cground
Cparallel - plate
1 2 3 4 5
Design rule (um)
8.1.2
Resistance
The
resistance of a
proportional to its length
wire is
, L and inversely
proportional as,
to its cross-section A. It is expressed
= pL pL
R (3)
A HW
where,
the constant p
is the resistivity of the naterial (in 2-m).
VLSI and Chip Design
integrated
8.6| most often used in
which is
interconnect material the standard integrated.
Aluminum is the compatibility with
lowcost and its compared to materials
its
circuits because of has a large resistivity
process. But it
circuit fabrication
such as copper.
can alsoexpressed as,
Equation (3) be ... (4a)
L
R = Rp W
where ...
(4b)
P
Ra H
S2/O.ie. olhm
resistance of thematerial with the units of
where Ra is the sheet
per square.
square
equations (4a & 4b) reprsents that the resistance of a
The above
conductor is independent of its absolute size. To obtain
the resistance of a wire,
simply multiply the sheet resistance by its ratio (L/W).
(1) Polycide
A
compound, materialformed using silicon and a refractory
silicide is a
metal. This creates a highly conductive material that can withstand high
temperature process steps without melting.
The silicides are most often used in a configuration
called a polycide, whic
is asimple layered combination of
polysiliconand a silicide.
A typical polycide consists
of a lower level of polysilicon with an uppe
coating of silicide which combines
the best properties of both materials. Tno
good adherence and coverage
from the poly and high
silicide.
conductance from ti
A
MOSFET fabricated
with a polycide gate
advantage of the silicided
is shown in Fig 8.6.
gate is a reduced gate
silicided source and drain resistance. Simila
regions reduce the source
the device. and drain resistance
Interconnect
8.7|
Silicide
Polysilicon
SiO2
n+
p. n+
He
N
312ig
Fig 8.6 A Polycide-Gate
MOSFET
Transistors between
routing layers add extra
called the contact resistance. resistance to a wire which
It is possible to reduce the contact is
making the contact resistance by
holes larger.
The current tends to concentrate
around the perimeter in a larger
hole. This effect is called as current contact
crowding, which makes a
limit on the size of practical upper
the contact.
(2) Skin Effect
In most of semiconductor circuits, the
resistance of a semiconductor wire to be
linear and constant. But because
of skin effect, at very high frequency, the
resistance becomes frequency dependent.
The skin depth 8' is defined as, the depth at which the current falls off toa
value of e ofits nominal value" and it is expressed as,
... (5)
where
Fig 8.7 The skin-effect reduces the flow of the current to the surface
of the wire
Assume that the overall cross section of the wire is now limited to
approximately 2(W+H)8. Then, the expression for the resistance per unit
length at high frequencies (f > f) is,
r) ... (6)
2(H+W)
The increased resistance at higher frequencies may cause an extra attenuation
that is distortion of the signal being transmitted over
the wire. The frequenc)
of
theskin effect is expressed as,
4p ... (7)
T (max (W, H))2
E W=1 um
W= 10um
W=20 um
Resistance
100
in
increase
0,1
18 1E9
Frequency (Hz) 1E10
Fig 8.8 Skin-effect
for different width
conductor
Interconnect
8.9|
Erom Fig 8.8, we can observe that the
skin-effect induced increase in resistance
as a function of frequency and
wire width.
8.1.3 Inductance
The inductance of a circuit can be evaluated by a
changing of current when
passing through an inductor and generates a voltage drop
as,osrekeS5
AV = L di ... (8)
dt
to compute the inductance a wire directly from its geometry and its
It is possible
environment. The capacitance 'c' and the inductance ' (per unit length) of a
wire are related by the following expression:.
cl = E
(9)
where 'e' and ' are the permittivity and permeability of the surrounding
dielectric, respectively.
The constant product of permeability and permittivity also defines the speed v
at which an electromagnetic wave can propagate through the medium and it is
given by,
1 1
Co .. (10)
where
a vacuum, and
Co is the speed of light (30 cm/ns) in
H, isthe elative permeability.
Š.2 EECTRICAL WIRE MODELS
The electrical models that estimate and approximate the real behavior of the wire
as a function of its parameters.
Rdriver
Vout Vout
HHH
HH Vin
Driver HH HH HH HH Cwire
Clumped
Fig 8.9 Distributed versus
lunped capacitance
o In this model, model of wire
the wire still represents an
itself does not equipotential region
introduce any and that the wire
introduced delay. The only
by the loading
effect impact on performance 1s
of the capacitor on
This capacitive
lumped model
the driving gate.
for the analysis is simple and
of most interconnect effective.
lumped capacitance It is the model
wires in digital of choice
ofthis model integrated
is expressed as, circuits.Then,the
lumped
where LX Cwire
L - Length ofthe wire,
Cyire
Capacitance
per unit
The driver length
is modeled as
advantage
of
a voltage source
an this approach
ordinary
differential is that the effectsand the source
respect equation. resistance
ofthe parasitics
to either These lumped
Rdriver
resistance can
or inductance. models are be described
useful for b)
wirsN
3The Lumped RC Modeltsis
model that lumps the
total wire resistance of each wire segment
R and similarly combines the into onesingle
global capacitance into a single
simple model is called capacitor C. This
the lumped RC model and
it is inaccurate for long
interconnect wires, which are
more.adequately represented by a
rc-model. distributed
I R3
G4
For example. the path resistancebetween the source node s and node 4 is
expressed as,
= Ry
R4 R+R,+
VZSI and Chip Design
8.12
extended to address the shared path
k=1
order time constant of the network
-
equivalent to the first
The Elmore delay is designer should be aware that
the impulse response).
The
(or the first moment of approximation of the actual delay
constant represents a simple
this time
between source node and node i.
(1) RC Chain (or) Ladder:
RC chain (or ladder) shown in Fig 8.11 which
Considerasimple, non-branched
case RC tree network. This network is worth analyzng
is a special of the represents 2
structure is used in digital circuits and also
because this
wire.
approximative model of a resistive-capacitive
i-1 R; RN N
R1 1 R2 2 R1 VN
I I
Fig 8.11 RC chain network
The Elmore delay of this chain network can be expressed as,
N N ..(3)
i=1 j =1 i=1 As
an
resistance.
The shared - path resistance is replaced by simply the path oftwo
- Consists
example, consider node 2 in the RC chain of Fig 8.11. Its time
components contributed by nodes 1
and 2.
Tnterconnect 8.13
The component of node 1 consists of CR,with R, the total resistance between
the node and the source, while the contribution of node 2 equals C, (R, + R,).
The equivalent time constant at node 2 equals C,R,
+C, (R +R,).
Trof node i can be derived in a similar way as,
tpi CjR
+C, (R + Ry) +... +C; (R+ R,
t... +R) ....4)
(, c, L)
Vin W
Vout
of the wire, while r and c stand for the resistance and capacitance per unt
length. A schematic representation of the distributed re line is given in
Fig 8.12(b).
The voltage at node i of this network can be determined by solving the following
set of partial differential equations:et
(V+1-V) + (V,-j- V)
cAL *. (5)
The correct behavior of the distributed re line is then obtained by reducing AL
asymptotically to 0. For AL 0, Equation (5) becomes the well known
diffusion equation:
VLSI and Chip Design
•.. (6)
T
differoyoe do
particular point in the wire, and x is the
a
Where V' is the voltage at
source.
between this point and the signal
equation, but tha
no closed-form of solution exists for the above
There is
are given as, TE
approximated expressions
RC t>> RC ch
= 2erfe |
Vour
() 4t
-2.5359 -9.4641! 4 rc de
=1.0- 1.366 e RC
+0.366 e RC t<< RC... (7) Small
These equations are difficult to use for ordinary circuit analysis. The distributed
rc line can be approximated by a lumped RC ladder network, which can be easily
used in computer-aided analysis. with
2.5,
8.2.5 Tr-.
X=L/10
2 A Defin
X= LI4 A
(V) 1.5 dist.
voltage
X=LI2 this rl
7
accurc
X=L
0.5H
The
tr
interco
0 0.5 1.5 2 2.5 3 3.5 4 In
time (nsec) 4 the
Fig. 8.13 Simulated
step response the ele
as a function of resistive-capacitive
of time and place wire inducti
Fig 8.13 shows the response
at different of a wire to a
points step input
in the wire as a which plots
the waveforms
delay for long wires. function of
time and resulting considerable
Driving these rc
lines and minimizing
ina
hetickiestproblems
in modern digitalthe delay and signal one of
integrated degradation is
circuit design.
Interconect
8.15
a Critical Length:
Ipgate
... (8)
0.38rc
The actual value of Lerit depends upon the sizing of the driving gate
and the
chosen interconnect material.
rc delays should only
be considered when the rise (fall) time at the line input
is
smaller than RC, the rise (fall) time the line.
of
rise RC
*. (9)
with 'R and C
the total resistanceand capacitance of the wire.
Vin out
C C
8
8.16
= ai
-ri-l at
... (10)
that
conductance g = 0, and eliminating the currenti
Assuming that the leakage
the wave propagation equation as follows,
yields
= rc + lc .(11)
In the lossy transmission lien model, the resistance plays an important role n
integrated circuits.
For the lossless line, Equation (11) can be simplified to the ideal
equation as,
= lc 02y
1
2y ... (12)
y2 dr2
the
A
input is applied to a lossless transmission line that propagates along
step
Co .. (13)
wire
and
The values of both
depend on the geometric shape of the
l and c
media.
their product is a constant which is only function of the surrounding
Interconnect
8.17
The propagation delay per unitwire
length (t) ofa transmission line is the
inverse of the speed and it is expressed as,
... (14)
dx
Wire
Substrate
Direction of propagation
d dx ... (15)
i= dt
C dt V=
dx
Since the propagation speed of the signal, equals v. This means that the
signal sees the remainder of the line as a real impedance as,
Zo =
.
= (16)
C CV
Zo
W
L X
(a) Matched termination
Zo
V
Zo
H
Fig 8.17 Transmission
A line withtermninating
Only a small impedances
fraction of the incoming
signal V.in is injected into the transmission
line. The amount
injected is determined
source by the resistive divider
resistance and the characteristic formed by the
impedance Z,.
Vsource Zo
... (10)
Z+ R)
This signal reaches the end of
the line after sec, where L stands for the length
of the wire and is fully reflected, which effectively doubles
the amplitude of the
wave.
Shape,
Environment,
Distance to the substrate, and
Distance to surrounding wires.
2,
wire.
Express capacitance of the
thetotal
wire can be
approximated as,
Thetotal capacitance ofthe
Cint WL
VLSIand Chip Design
8.20
s
. L are the width and length of the
wire..3i tiks
where, W and
of the dielectric layer and its
and Edi represent the thickness
ti
permittivity
capacitance is proportional to the overlan
represents that the
The above equation proportional to their separation.
inversely
between the conductors and
3. Define fringing capacitance. substrate is called
as
wires and the
between the side walls of the
The capacitance
capacitance which is contributes overall capacitance.
fringing
interconnect wire.
4. Express resistance ofa proportional.
proportional to its length L
and inversely
a
The resistance of wire is
as,
to its cross-section A. It isexpressed
pL_pL
R= A HW
6. What is polycide?
a
a configuration calleda polycide, which 1S
The silicides are most often used in
a
simple layered combination of polysilicon and silicide.
coating
with an upper
A a
typical polycide consists of lower level of polysilicon
good
materials. The
of silicide which combines the best properties of both
silicide.
adherence and coverage from the poly and high conductance from the
8 =
ntérconnect
8.21|
where
Network does not contain any resistive loops (which makes it a tree).
Lne transmission line has the prime property that a signal propagates over the
interconnection medium as a wave.
VLSI and Chp Design
8.22|
transmission line?
12. What is lossless
model is called
as lossless transmission line
simplified capacitivelinductive
A
8.7 REVIEW.QUESTIONS
1. Discuss in detail about an interconnect capacitance
ofa wire.
2. Briefabout interconnect resistance of a wire.
3. Write note on inductance.
4. Illustrate about the lumped model
with diagrams.
5. With neat sketches, explain
in detail about the electrical
6. Discuss in detail about
wire models.
the lumped RC model.
7. Briefabout the distributed
model rc line.it
8. Explain in detail
about the transnission
line model.
UNIT.IV
)
Chapter9
SEQUENTIAL DIGITAL
CIRCUITS
9.1 ADDERS
9.1.1 Introduction
4 Addition is one of the basic operation in data processing
used in
which is most commonly
arithmetic operation. It is used in every
stage, starting from
multiplication and also.in counting to
filtering.
Adders can be implemented in various forms which suits different
speed and density
requirements.
A B
0 1 1
Propagate
0 0 0 1
0 Propagate
1
0
Propagate
1
0
0 1
Generate/
Propagate
0 1 1
Generate/
Propagate
Table 9.1 Truth
table of fulladder
A Sum of Full Adder
Thelogical
expressions
for sumand carry can
be obtained by using K-map,
Sum, S =
ABC+BC+ ABC + ABC
= A
(BC+ BC)+A (BC +
BC)
Souetial Digal Circuits
9.3
= A (B C) +
A (B C)
|S=A BC ...
The Sum logical (la)
expression in terms of propagatesignal
(P) is given as,
S= (A B) C=PC .. (1b)
A Carry of Full Adder
Carry,
C= ABC+ ABC + ABC+ABC+ABC
+ABC9bb tist uff
= BC (A + A) + AC (B + +
B) AB (C + C)
= BC+ AC+ AB
A
B P MAJ – Cout
C
The carry gate is also called majority gate because itproduces a, 1 if atleast hyo of
ne three inputs are l. The logic gate implementation of sum and carry is shown in
Fig 9.3.
(a)
VISI and Chip Design
9.4
CARRY AB + C (A + B)
=
(b)
The full adder can be drawn using logic gates are as shown in Fig 9.4.
B Sum =A BC
C
|9.s
Vpo
VoD
B B
Cout
B
B A
B
A
B
B)CARRY
A) SUM
of full adder
Fig 9.5 CMOS Implementation
* The sum equation can further be simplified in order to reduce the number of
transistors.
=
·
(A +B +C) (AB BC. AC)
c) +ABC
= (A + B+ C) AB + BC +
AC ) +ABC
VLSI and Chip Design
9.6
Co t ABC
... (3)
C)
S= (A+B+
This equation (3) minimizes the number
of
transistors to be used. Also, it eliminatos
the need for inverting the inputs.
A MINORITY.
C Cout
Cout
VDD
B.
C
E
Cout
H
Gout
(b) Transistor
level CMOS
implementation
Fig 9.6
Soquemtial LDigial Circuits
B-d|
VoD
A-4|
X
Vop
TA
-c,
C1 C2
Gin' FA FA FA FA Gout
So S1 S2 S3
Inthe case of the ripple-carry adder, the worst case delay happens only when a carry
generated at the LSB propagates all the way to
the MSB. This carry is finally
consumed in the last stage in order to
produce the sum.
The delay is then proportional to the
number of bits in the input word N
and it is
approximated by the following equation:
-
9,1.5 Carry Bypass (Skip) 9.9
Adder
Drawbacks: Why Ripple
-Carry Adder Not
The ripple-carry Used in High
Speed Adders?
adder is useful onty
lengths. for the implementation
Most desktop computers use of small word
word length of 32 bits,
64 bits. while servers require
Definition
The carry skip adder provides compromise between a ripple carry adder and a
Carry Lookahead Adder (CLA), It divides the words that to be added into the blocks.
Within each block, ripple carry is used to produce the sum bit and the carry.
The carry skip adder reduces the delay due to the carry computation that is by
stages.
Skipping over group of consecutive adder
in Fig 9.9 (a), suppose
that the values
Consider the four-bit adder block shown
are high.
= are such that over all propagate signals P& (k=0...3)
A and (k 0...3)
B
9.10|
P3 G3
Po Go P1 G1
P2 G2et
Co.2 Co,3
Co,1 FA
Co,0 FA
FA
Ci, 0 FA
Co,1 Co.2
Co,0
FA FA FA Co3
C,o FA
a
(b)Adding bypass
9.11
Po
BP
G,o
Go
G2 G3
BP
tcarry Propagation delay through a single bit. The The worst case carry
Cary
cary Carry
propagation
Carry
propagation propagaton
propagation
M bits
tt
Ripple adder
Bypass adder
4.8
Fig 9.12 Propagation
delay of ripple-carry versus
carry-bypass adue
Ceguential Digital Circuits
9.13
1.6 Linear Carry-Select Adder
Drawback
In a
ripple-carry adder, every full-adder cell has to wait
for an incoming carry
before an outgoing carry can be generated.
Definition
Carry select adder is based on the principle to calculate the sum that is based on
assuming input carry from the previous stage. Sum and carry are calculated by
assuming input carry as l and 0
prior toan input carry comes.
When actual carry input arrives,
the actual calculated values of sum
Setup
and carry are selected using a
P.
multiplexer.
0 0 Carry propagation
An implementation of this idea, is
appropriately called the
1 1Cary propagation
Carry-select adder is demonstrated
in Fig 9.13. Co,k-1 Co,
k+3
Mültiplexer
Consider the block of adders,
to k+3, Carry vector
which is adding bits k
Instead of waitingon the arrival of Sum Generation
0-Carry
0-0Cary 0- 0-Carry o-0-Carry 0-
Cary 1 1-Carry
11arry 1- 1-Carry11-
Mutiplexar Multiplexer
-MOporer-Mütiperer
Go,3 Co. 11 Co.15
(9)
Sum generation Sum generation
|Sum generation |Sum generation
So-3 S4-7 Sg-11 S12-15 (10)
Bit 0 - 1 Bit
2-4tk Bit
5- 8 Bit 9–13 Bit 14-19
= MP+ PP-D-+P(M-}
2
•..
(8)
Ca
N = 64), the first term dominates, and equation (8)
If M
<<N (e.g., M=2 and
be simplified as,
p2 ... (9)
N (or) P=2N
Circuits
SauentiallDigital
9.17
50
40 Rippie adier
delays)
unit
30
(in
tp Linear select
20
10
Squere root select
20 40
N
9.1.8
Carry Look ahead Adder (CLA)
1) Introduction
of the
10 Improve the speed of an addition operation, the carrY propagation delay
adder is limitation.
the major
9.18 VLSI and Chip Design
Although ripple carryadder is simple in logic, but it has a long circuit delay due
to many gates in the carry path from the LSB to the MSB. This ripple effect also
Among the various approaches, the principle of carry look-ahead adder will
reduces this problem by calculating the carry signal in advance, based on the
input signals.
Cio Po Ci1 P1
CN-1 PN-1eB
So S SN-1
Fig 9.17 Conceptual diagram of a Carry-Look ahead Adder
Vop
-G3
G2
G1
Go
Cio
Co,3
Po
P1
P2
P3
mirrorof implementation
diagram
Fig 9.18 Schematic
offour-bit lookahead adder
VLSI and Chip Design
9.20
values of N
The large fan-in of the circuit makes it prohibitively slow for larger
In order to implement this, even a simpler gates requires multiple
logic levels. In
both the cases, propagation delay increases and it seems to be the maior
limitations.
For instance, the signals Go and Po appear in the expression for every one of the
subsequent bits. Hence, the capacitance on thesé lines is substantial. Finally, an
area of the implementation grows progressively with respect to N.
For a
carry-lookahead group of N bits, the transistor
implementation has N+1
parallel branches with upto N+ltransistors
in the stack. Since wide gates
stacks display poor performance and large
and the computation has to be limited upto two
(or) four bits in practice.
o
If the group generates a carry
and independent of the incoming carry,
equals to 1. The block propagate then Gi:j
The format of the new expression for the carry is equivalent to the original one,
except that it generates and propagate signals which are replaced with block
generate and propagate signals.
=
The notation Gi:i and Pii generalizes the original carry equations, since G; Gii
and P; = Pii and a pair of generate and propagate functions is expressed as
as aseparate functions.
(, P;:i), rather than considering them
A Dot Operator ()
(), operator which is used on the pairs and
A new Boolean operator, called dot
combination and manipulation of blocks of bits:
allows for the
= (G+ PG, PP)
... (15)
(G, P) (G, P)
= P3) (Gz P2). This dot operator only obeys the
For example, (G, Pai) (G,
commutative.
associative property and not
VLSI and Chip
9.22 Design
O
Creation of
P
and G
signal
D O
D O O O O D o O o
- Dot operator
in look ahead
Bo) B1) B2) B3) B4) Bs) Bs) B7) Be) Bg) B10) B12) B13) B15)
B11) B4) tree
(A1, (Az. (Ag, (A4, (As, (A6, (A7, (Ag, (Ag,
(Ao. (A10. (A11, (A12, (A13, (A14, (A15, Sum generation
Fig 9.19 Schematic diagarm for Kogge-Stone 16-bit lookahead logarithmic adder
9.2 MULTIPLIERS
9.2.1 Introduction
computation process. Multiplications are expensive
* Multipliers plays a major role in in
used in digital signal processing and also
widely
andalso slow operations. It is
graphic engine etç.,
as microprocessOr,
high performance systems such power.
consumes considerable
latency and
have a large area, long one in
low power
udpiers has been an important
Therefore, low-power multipliers design
of
9.2.2 Multiplier The result
Definitions of
AND operation.
equivalent to logical
* Binary is
Multiplication
to get the
final output.
individual bit multiplication is added
VLSI and Chip Design
9.24
Multiplicand (22)
10110 (9)
1001 Multiplier
10110
00000 Partial products
N O0000
10110
Result (198)
11000110
of binary multiplication
Fig 9.20 Anexample
upto times. M × N multiplication creates
means adding N M,
fo MxN multiplication partial products are added to get
bits each. The shifted
N partial products with M
M+Nbits in result.
ing the appropriate bits of the
multiplier and
Partial products are formed by AND
is added and if carry
occurs it is
multiplicand. Each column of the partial products
as X
us denote the multiplicand as Y and multiplier
passed to the next column. Let
and it is represented as,
Multiplicand, Y = (M-}M-2...., y1:Vo)
Multiplier, X = (N-|, XN-2 *...,*i Xo)
.j=0. \i=0
Multiplicand Multiplier
N-1 M-1
2'+J
i=0 j=0
For MxNMultiplication,
-
Nbit adder is used.
Mcycles are required.
Shift and add algorithm is used to get M
partialproducts.
Circuits
SeguenttaI Digital
9.25
products are generated
All partial at the same
time and organized
Then, the final productis Computed in an array
using multi-operand
manner.
addition.
Partial
X2 Y3 X2 Y2 X2 y1 X2 yo products
X3 y3 X3 Y2 X3y1 X3 Yo
P6 Ps P4 P3 P
P Po Prodcut
Multiplicand y
Multiplier x
X4
Partial
products
oteite
Productii3oni
In most cases, the partial -product array has many zero rows that have no impact on
the result and thus represent a waste of effort when added. In the case
of a multiplier
which is consisting of all ones, all the partial products exist.
Definition
Booth encoding is a method to reduce the
number of partial product. Simply it is a
multiplication algorithm that multiplis hwo signed
binary mmbers in two's
complement notation.
9.27
Yn
Yo
X-1 Operation
Shift only
4
Shift only
1 Subtract Shift -1
1
Addition Shift
1 1 1 1 1 1 0
0
Given:
0 0 -1
-1 (or) 1
Add 'o' at
LSB: 0. 0
0
0
4 0 0 -0 0 0 1
of booth
encoding
9.23 Example
Fig but the final adder
only two partial products,
we have to add also
Using this format, of transformation is
as well. Thistype
subtraction
has to be able to perform
It reduces the number of partial products almost one half. It ensures that for every
two consecutive bits, at most one bit will be 1
(or)-1.
Advantages
9.29
Example
2x
-2x
+X
= 4(+x)-2x
= 4x
-2x
=2x
are shifted according to their bit orders and then added. The
Ihe partial product
normal carry propagate adder.
dddition can be performed with the help of
N x M
two-bit AND gates and
4 The generation of N
partial products requires
N-IM-bit adder.
9.30 VLSI and Chip Design
A3 A2 A1 A0
Inputs
X B3 B2
. B1 BO
B0 x A3 B0 x A2 BO xA1 BO × A0
x
B1 x A3 B1 x A2 B1 xA1 B1 A0
B2 x A3 B2 x A2 B2 x A1 B2 x A0 Internal Signals
+ B3 x A3 B3 x A2 B3 x A1 B3 x A0
Y7 Y6 Y5 Y4 Y3 Y2 Y1 YO Outputs
9.31
Yo
HA
FA
FA HA
HA
Y3
FA FA FA HA
Z,
Z
Anapproximated propagation delay for critical path 2 from the Fig 9.27 can then
be expressed as,
FA
FAFA FA
--HA
FA
eFA FA HA
A Definition
In order to improve on the delay and area as welas speed ofa array multiplier, the
Carry Save Adders are used in which every carry and sum signal from one stage is
passed to the adders of the next stage. Fig 9.28 shows a 4
x4 carry-save multiplier.
Due to the large number of almost identical critical paths, a more efficient
realizatioñ can be obtained by that the multiplication result does not change when
the output carry bits are passed diagonally downwards instead passing only to
of
at o
betheright, as shown in Fig 9.28.
An extra adder called a vector-merging adder is used to generate
the final result.
nsit teThe resulting multiplier is called a carry-save multiplier, because the carry bits
are not immediately added,
but rather are "saved" for the next adder stage.
In the final stage, the carriers and sums are merged in a
fast Carry-Propagate
Adder (CPA) stage. While this structure has a slightly
increased area cost (one
extra adder), it has the advantage that its worst case
critical path is shorter ana
.c r
uniquely defined. Ie
Sayential! Digital Circuits
tial
9.33)
HA
HA HA
HA FA
FA
HA FA FA
HA
FA
.(a) (b)
Second stage Final adder
6 5 3 2 0 65 4 3 2
)
FA HA
(c) (d)
Fig 9.29 Transfornming of partial-
product in to tree structure
(a) intoa Wallace tree(b,c,d)
The first type of operator that can be used to cover an array
is a full adder, which
takes three inputs and produces two outputs:
the sum is located in the same column
and the carry is located in the next one.
& For the above reason, the Full
Adder FA) is called as a 3-2 compressor. 1S
denoted by a circle covering three lt
bits. The Half- Adder (HA) takes two
in acolumn and produces two outputs. input bitS
It is denoted by a circle covering two
In the first step, we introduce bits.
HAs in columns 3 and 4 (Fig
is shown in Fig 9.29 (c). 9.29 b). The reduced treo
The second round reductions
of creates a tree of depth 2
shown in Fig 9.29 (d).
Sequential Digital Circuitt
*3 V3
x3 Y2
Partial products |X2Y3
First
stageris sn HA HA
FA FA FA FA
Second stage
Final adder
: -o
Sin3! 3
726 Z5 z4
0
Disadvantages
The Wallace multiplier has the disadvantage of being ery irregular, which
* A carry-lookahead adder is the preferable option if all inputs bits to the adder arrive
at the same time and also with the smallest possible delay. This is the case if a
pipeline stage is placed right before the final addition, which is actually a technique
frequently used in high-performance multipliers.
Shifters
It is used to shiftthe number to the left(or) right and empty spots are filled with O's.
Example: 1011
(i) Left shift (i) Right shift
1011
1011 1011
Shift right
1
101
Sign bit
1011 1011
Shift left
0110.
Sign bit
|9.38 VLSI and Chip Design
19.39
Barrel shiifters are available in two forms
as:
() Array form.
(iü) Logarithmic form.
Tagarithmic barrel shifter is
widely used and they are better suitable
for large shifts.
Ag
Data wire
Sh Control wire
Sh2
A1
B
hti
Sh3__
Ao
Bo
Sh2 Sh3
Sh Sh
shifter
Fig 9.32 4 x4 Barrel
Advantages
barrel shifter are,
Ihe main advantages
of
mumber of bits without the use of any
word by a specified
() It can shift a data combinational logic.
only pure
Sequential logic, microprocessors, typically
n-bits in modern
to shift and rotate
("9 tis ofien used
cycle.
within a single clock an.implementation
multiplexers andin such
as a sequence
of
way that
implemented next MUX in a
(ii) It can be of the
connected to an input
one MUX is
c4 Output of
distance.
depends on the shift
.401
VLSI and Chip Design
shifter with a maximm shift width of M consists of a log, M stages, where the ih
A
The series connection of pass transistors may slows the shifter down for larger shift
values and an intermediate buffers are necessary.
Sh
Sh Sh, Sh2 Sh Sh4 : Data Wire
:Control Wire
As B3
A2
B2
A1
B.
Ao
Bo
9.4 COMPARATORS
A Definition
A
comparator has twoinputs and three output bits that say whether the first input
is: greater, less, or equal to the second input.
C =AB A<B
A
EAB A>B
B.
Comparator Circuit
Fig 9.34 1-bit Digital
VLSI and Chip Design
9.42
Inputs Outputs
B A A>B
A
=B A <B
0 0 0
0 1
0
1
0 1
1 1 1
0
Table 9.4 Truth table of magnitude comparison
(1) 4-bit Magnitude Comparator
Binary Binary
Inputs A Inputs
Ao A1 Az A3
(LSB) (MSB)
B B B
B3
(LSB) (MSB)
A<B
4-bit Magnitude
Comparator A=B Comparison
Outputs
A>B
9.43
9.4.2 Equality Comparator
An equality comparator determines =
4
ncing XNOR gates
if (A B), This can be done more
simply by
and one detector as shown in Fig 9.36.
B[3]
rA[3]
B[2]
A[2)
A=B
B[1]
A[1]
B[O]
hieLtA[O]
Fig 9.36 Equality comparator
[APRMAY-2018]
Consider A and B are the inputs of an adder. Then the full adder output in terms of
propagate and generate can be expressed as,
P = AB
G = A B
4 Express the sum fulladder.
of
and
A B
the inputs
sum can be obtained by using K-map is
output. Then, the logical expression for
expressed as,
= A
(B C) + A
(B C)
B C
S= A
The Sum logical expression in terms of propagate signal (P) is given as,
=
S (A O B)
C=PC
where, P. = AB
3. Express carry of
full adder.
Consider, and B are the inputs of an adder, C is the carry input and S is
A
the sum
output. Then, the logical expression for carry can
be obtained by using K-map is
expressedas,
BC (A + ) + AC (B + B) + AB (C +
C)
= BC+ AC+ AB
=
AB + BC+ AC= AB+ C(A+B).
Caut =MAJ (A, B,C) (Majority
of A,B,C)
4. Draw the structure of full adder.
A.
Sum =AB C
Cout
=AB+ BC+AC
al Digital Circuits
C1
Cin FA FA FA FA Cout
So S4 S S3
The carry skip adder reduces the delay due to the carry computation that is by
skipping over group of consecutive adder stages.
9. Define carry select adder.
Carry select adder is based on the principle to calculate the sum that is based on
assuming input carry from the previous stage. Sum and carry are calculated by
assuming input carry as I and O prior to an input carry comes.
When actual carry input arrives, the actual calculated values of sum and carry are
selected using a multiplexer.
10. Determine propagationdelay of
n-bit carry select adder. [APRMAY-2016]
The propagation delay of the n-bit carry select adder is expressed as,
where tsetup, tsum and tmux are fixed delays and N and M represents the total numberof
bits, and the number of bits per stage, respectively. Tearry is the delay of the carry
through a single full-adder cell.
11. Write the drawbacks of'carry-look ahead adder.
9.47
Booth encoding (or) algorithm.
a
Rooth encoding is methodto reduce
the number of partial
multiplication algorithm product. Simply it is a
that mltiplies two
signed binary numbers
notation. in two's
complement
Logical shifter is used to shift the number to the left (or) right and empty spots are
ti filled with 0's.
0
110 0 |1lo1
Extra zero Extra zero
19. What is barrel shifter?
A barrel shifter is a digital circuit
that can shift a data word
bits without the use of any sequential
by a specified number of
logic, only pure combinational
used to shift and rotate logic. It is often
n-bits in modern microprocessors,
clock cycle. typically within a single
Barrel shifter rotates
numbers in a circle
shifted at an other such that empty spots are
end. Example: filled with bils
1011; ROR 1
=1101 & ROLI =0111
1011 Shift Right
101 = 1101
Shift
Left1011 0111 sie
Seguential Digital Circuits
l 9.49
Draw the
structure of 4 x4 barrel shifler.sgo
20. 2fAPR/MAY-2018]
Ag
Data wire
Sh ---. Control wire
A2
Sh2
A1
- B,
Sh3
Ap
Bo
Sh Sh Sh3
Sh
very useful in the designing of arithmetic circuits?
4. Why is barrel shifier
NOVDEC-2018]
(or)
[NOVDEC-2019]
State the merits ofbarrel shifier.
are,
The main adyantages of barrel shifter
without the use of any
number of bits
can shift a data word by a specified
() t pure combinational logic.
Sequential logic, only
microprocessors, typically
to shift and rotate n-bits in modern
() It is often used
within a single clock cycle.
an
sequence multiplexers and in such
as a of
() It can be implemented an input of the next MUX
connected to
implementationan output of one MUX is
distance.
in a way that depends on the shift
VLSI and Chip Design
9.50|
6 5 4 3 2 1 6 5 4 2 Bit
position
(a) (b)
4 3 2 1 6 5 4 3 2
6 5
HA
FA
(c) (d)
N. Define.magnitude comparator. 9.51
A magnitude digital comparator
numbers
is a combinational circuit
or binary in ordertofind out that compares
whether one two digital
or greater than the other binary number
binary number. is equal, less than,
It hasthree output
(i) One each forequality, = terminals as:
A B
(ii) Greater than,
A>B,and
(iii) Less than A < B.
NOVIDEC -2017|
3. How the drawback in ripple carry adder overcome carry
by look ahead adder and
discuss.
[NOV/DEC -2017|
4. Explain indetail about
theCarry-Bypass adder with neat sketches.
Explain the operation of a basic 4-bit adder. Describe
the different approaches of
improving the speed of the adde.
[NOVIDEC-2016]
Design a
6-bit carryby pass and carry select adder and discuss their features.
[APR/MAY-2016)
7.
Explain carry look ahead adder and discuss its types.
the concept of
JAPRIMAY-2017& APRMAY-2018)
&.
Derive
the hecessary expression of a 4-bit carry look alhead adder and realize the
carry out logic.
expressions using Dynamic CMOS [APRMAY-2019]
9. Discuss with suitable sketches.
in detail about the logarithmic look akead adder
VLSI and Chip Design
9.52|
[APRMAY-20177
12. Design 4 x4 array multiplier and write down the equation for delay.
[APRIMAY-2016]
[NOVIDEC -2018]
14. Design a 4-bitunsigned array multiplier and analyze its hardware complexity.
[APRIMAY-2019, NOVDEC-2019)
15. Discuss in detail about Tree multiplier with neat sketches.
16. Write note on Wallace tree multiplier.
NOVDEC -2017|
17. Design 4-inputand 4-output barrel shift adder
using NMOS logic.
[NOVDEC -2018]
18. Design a 4-bit barrel shifter.
[NOVDEC-2019
19. Explain the working
principle of logarithmic shifter, with neat sketches.
20. Explain the carry-propagate
adder and show how the generation
signals are framed. and propagation
[NOVIDEC-2020 & APRMAY-2021/
21. Listthe several
commonly used shifters. Design
commonly used shiflers. the shifter that can perform au
tn
22, Discuss NOVDEC-2020 & APRMAY-2021|
in detail aboutcomparators
with neat sketches.
UNIT- IV
Chapter 10
Logic Implementation using
Programmable Devices
10.1 PROGRAMMABLE LOGICARRAY (PLA)
a Definition:
* Any logic function can be expressed in sum-of-products form; i.e., where each
output is the OR (sum) of the ANDs (products) of true and complementary
inputs. The inputs and their complements are called literals.
Inputs
AND Implicants OR
Array Array
Outputs
& The AND of a set of literalsis called a product or minterm. The outputs are ORs
bc
ac
ab Minterms
abc
abc
abc
abc
b
Inputs Cout
Outputs
bc
ac
ab
abc
abc
abc
abc
ANDPlane OR Plane
bc
HL. HLHH
ac
.........
HL
ab
H
abc
H HI
abc
HL HLHI
abc
abc
HE
a b C
Cout
() Poly-diffusion antifuse.
E Merits
(i) Highest density.
resistance.
(ii) Lowest switch
capacitance.
(ii) Very low
(iv) Non-volatile.
easy to place and route.
(v) Software is 2
a Characteristics of FPGA
are:
The important characteristics of FPGA
(0) VO Blocks:
blpck
Configurable Configurable
Logic
Configuráble
Logic Logic
Block:(CB) BIock(CLB Block-(CLB)
rnterconecta matriYd
|/Oblock
Fig10.5Architecture of FPGA
Programmable
Logic cel
LC| |LC LC
LC LC| LC|
Programmable
interconnect
Programmable
WO cells (or) blocks
LC| LC
The CLB acts as the main logic resource for implementing logic circuits.
Generally, the CLBs contain RAM based LUTs to the implement logic and
storage elements that can be used as flip-flops (or) latches. CLBs can be
programmed to perform various logical functions as well as to store data.
TwoInputLUT: |10.9
Figure 10.7 shows the structure
of a small LUT.
and one output, fj. It is capable It has two inputs, X1
of implementing
and x
variables. any logic
function of two
Since the two-variables truth
table has four rows,
cells. One cell this LUT has four storage
corresponds to the output
value in each row
of the truth table.
The input variables X]
and X2 are used as the
selective inputs of three
multiplexers, which
depending on the valuation
content of the
of x and x2, which select the
four storage cells as the output
of the LUT.
O/1
O/1
0
O/1 0 1
0
O/1 1
1 1 1
Modern FPGA output signals with fast edge rates require termination t
prevent reflections and maintain signal integrity.
The actual switching matrix employed a structure of six pass transistors per
cross point. Thus, the connectivity can be established by controlling the
() Single lines
Used to connect a CLB to another CLB which is one hop away. These
wires have
to gothrough a programmable switch hence add delay.
Wires in
long groups do not go through any instead
programmable switch at all,
they travel all the way across a
(or) down a row (or) column and can driven by
tri-state drivers.
3 What is an
antifuse? State its merits and demerits.
NOVDEC-2016]
An antifuse is normally high
resistance (> 100MQ). On an
application of
appropriate programming voltages,
it is changed permanently to a low-resistance
structure (200-500
).
Devices based on antifuse technology are one time programmable.
An antifuse
Initially provides insulation between two conductors, but when the sufficient
programming voltage is applied across it, conducting path forms. There are two
classes of antifuse technology:
i) Poly-diffusion antifuse.
(i) Metal-metal antifuse.
Merits:
() Highest density.
(ii) Lowest switch resistance.
(ii) Very low capacitance.
(iv) Non-volatile.
Demerits:
one time programmable, once an
antifuse is blown and it can
It is not be
removed.
4. Defnefloor planning.
Chapter 11
Designing Memory
Array Structures
andn
11.1 MEMORY CLASSIFICATION
4 Semiconductor memories are most
often classified on the basis of memory
functionality, access patterns, and the nature
of the storage mechanism.
& Most of memories belong to the random-access class, which means memory
locations canbe read (or) written in arandom order.
Ihe RWM structures have an advantage of offering both read and write
Junctionalities with comparable access times and the most flexible memories.
Datas are stored either in flip-flops (or) as a charge on acapacitor.
A more
useful classification of RAM is volatile and nonvolatile memory.
Volatile
memory can retains its data as long as power is applied, while
nonvolatile memory
will hold data indefinitely.
RWM
is Synonymous with volatile memory, while ROM is synonymnous with
nonvolatile
memory. Read only memory can encode the information into circuit
lopology
LSIand Chip Design
11.2
Memory Arrays
+
Serial Access Memory
Content Addressable
Random Access Memory Memory (CAM)
Shift
ReadWrite Memory Read -Only Memory Queues
(RWM)(Volatile) (ROM) (Nonvolatile) Registers
Fig 11.1Classifications
ofmemory arrays
The volatile memories can
further be divided into
structures. The
static structures and dynamic
static will retain their
data as long as the supply
retained, while dynamic voltage 1s
need periodic refreshing to
compensate
loss caused by the leakage. for the charg
The members
of the nonvolatile family are
(PROM), Erasable mask ROM, Programmable ROM
.Programmable ROM
(EPROM) and
Programmable ROM (EEROM). Electrically Erasable
A
mask ROM are hardwired
during fabrication
can be programmed
once after
and cannot be changed. A PROM
fabrication by
special high programming blowing on-chip
voltage. fuses witn
Designing Memory andArray
Structures
PROM can be modified only once
11.3
by a user. The user a
énters thhe desired contents using a buys blank PROM and
PROM programmer.
4
Inside the
PROM chip there are
small fuses which are
burnt open during
programming. It can be programmed
only once and not
is erasable.
An EPROM
isprogrammed by storing charge on a floating gate.
It can be erased
byexposure to ultraviolet (U) light for several minutes toknock the charge
off
the gate and it can be reprogrammable.
Some mnemory types restrict the order of access, which results in either faster
access times, smaller area and have a memory with a special functionality.
Examples of such are the serial memories: the FIFO (first-in ftrst-out), LIFO
Memory
11.2.1 Architectures For N- word is
implemented, then N-word memorywhere each word
When Nx M memory is
approach is to stack the
arranged using the most intuitive
M
bits wide are 11.2(a).
words in a linear fashion, as shown in Fig
subsequent memory
VLSI and Chip Design
11,4|
So
So Word 0
Word 0
S Word 1 Ao Word 1
Storage
words S Word 2 Storage Decoder Word 2 cell
cell
SN-2
Word N-2
AK Word N-2
SN-1
Word N-1 Word N-1
K= log2N
Input-Output Input-Output
(M bits) (M bits)
(a) Intuitive architecture for (b)Decoder reduces the nunber of
Nx Mmemory address bits
Advantages
Bit line
DecoderA
Storage cell
AK
--
AK+1 -- Word line
Row
A-1
M.2K
Ao
Columndecoder Selects appropriate
AK-1
Word
Input-Output
(M bits)
Block 0 Block i
Block P-1
Row
address
Column
address
Block
address
The comparand block is filled with the data pattern to match, and the mask word
indicates which bits are significant.
/O
Commands
Comparand
Mask
Decoder
Bits Encoder
Validity
29
0xFFFO0000.
DRAM Timing
H
This approach reduces the number of package pins and has survived through
the subsequent memory generations.
Address
Row Address ColumnAddress
bus
RAS
CAS
RAS-CAS timing
Address
AddresS
Bus
Address transition
initiates memory operation
ROM isrepresented with dot diagram. The dots indicate 1's in ROM. Fig 11.8
shows 4- word x 6 -bit ROM with an example.
Word
:010101
0
Word 1 :
011001
Word 2
:100101
Word
:101010
3
BL
BL
BL
op
WL
WL WL
1
BL BL |BL
WL WL WL
GND
.The ROM cell is designed in such a way that logic 0 (or) logic 1 is presented to
the bit line upon activation of its word line. Fig 11.9 shows several ways to
accomplish this.
Consider the diode-based ROM, which is the simplest cell and shown in
Fig 11.9(a). Assume that the bit line (BL) is resistively clamped to ground that
is, BL ispulled low through the resistor connected to ground and lacking any
other excitations or inputs. This is for logic 0 and no physical connection
between the word line WL and BL exists.
(i) Logic- 1
When a high voltage Vw is applied to the word line
of the 1 cell, the diode is
enabled, and the word line pulled up to
is Vw,- Vpion) , resulting in a 1 on the
bit line.
Simply, the presence of a diode between bit line and word line is considered
as logic
1' and its absence is considered as logic
0.
E Disadvantages
The disadvantages of diode
based ROM cell are,
) It does not isolate the bit
linefrom the word line.
(i) Allcurrents required to
charge the bit line capacitance,
large memories. So, is quite high jor
it is suitable only for smnall
memories.
(2) MOSROM 1
To overcome the
above drawbacks
approach is diode of diode based ROM
replaced by the cell, a better
transistor, whose gate-source connection of a NMOS
drain is onnected
to the supply
Ay
Fig 11.9(b). voltage and it is
shown 1
Designing. Memory and Array Structures
The operation is identical |11.13
to that ofthe diode
All the output driving cell with one major
current is difference:
provided by
"The word-line driver the MOS transistor in
is only responsible the cell.
word-line capacitance. for charging and discharging
the
An alternative
implementation is offered
by the MOScell and
Fig 11.9 (c). it is shown in.
In ROM, the supply
rail must be distributed
throughout the array.
of a 4x4 array is shown An example
in Fig 11.10.Here, the supply
sharing them between lines get reduced by
the neighboring cells.
BL (O]
BL [1] BL [2] BL [3]
WL [O]
VoD
WL [1]
WL (2]
VpD
WL [3]
Vbias
Pull- up devices
WL [O]
GND
WL []
WL [2]
GND
WL [3]
Fig l1.11 A
4x4 MOS NOR ROM cell array
An NxMROM memory can be considered as a
combination of 'M NOR
gates with atmost N° inputs.
It is therefore called a NOR ROM
which is
shown in Fig 11.11.
To be operational,
thiscell requires the bit line to be resistively clamped to
supply voltage, or equivalently, the
the default value at the output must
to 1.
be equal
Pull- up devices
BL [0) BL[1] BL [2] BL (3)
WL [O]
WL (1]
WL [2]
WL [3)
O. The main adyantage of the NAND structure is that the basic cell only
consists
Of a and that no connection to any of the supply voltages is needed.
transistor
ThisS might reduce the cell size substantially.
11.3.2
Nonvolatile Read-Write (NVRM) Memories
The
architecture of the NVRW memories is virtually identical the RAM
to
line/bit
line grid.
The memory or enabling some of those
is programmed by selectively disabling
memory, a modified transistor that permits its thresholdto
devices.
In a
NVRW
altered electrically is used.
11.16| VLSIand Chip Design
This modified transistor is retained indefinitely even when the supply voltage is
turned off. To reprogram the memory, the programmed values must be erased.
after which a new programming round can be started.
The programming of the memory is typically an order of magnitude slower than
the reading operation.
Floating gate
Gate
Source
Drain
nt nt
Substrate S
5V
-5V 0V -2.5 V
10V-->5V 20V
D
S
D
See D
(c) Programming results
Removing programming
() Avalanche injection 6) in higher VT
voltages leaves charge
trapped
transistor.
Programming the floating-gate
Fig l1.14 an effective increase in
0 Fromn a of view, this:
translates into
device point
a higher voltage is needed to
on the device,
threshold voltage: To' turn
induced negative charge (Fig 11.14 c). Typically,
Ovefcome the effect of the
7V.
theresulting threshold voltage ís around
0 The onto the floating gate will effectively shifts the I-V curves
charge injected
in Fig. 11.15. Applying
a word-line voltage Vw.
the transistor. as shown
or not ("1" state).
results
in eithercurrent flow(“0* state),
to
11.18 VLSI and Chip Design
ON"
AV
"OFF"
VWL
VGs
erasure procedure.
Drain
Source
10 V
nt
nt Substrate
10nm
(b) Fowler-Nordheim
(a) FLOTOX transistor LVcharacteristic
programmable by using
Fig l1.16 FLOTOX transistor,
Fowler-Nordheim tunneling
|11.20 VLSI and Chip Design
ØAdvantage
The main advantage of this EEPROM programming approach, it is reversible
that is, erasing is simply achieved by reversing the voltage applied during the
writing process.
Definition
Floating gate
nt source nt drain
Programming
p-substrate
The different areas of the gate oxide are used for programming and erasure.
Programming is perforimed by applying a high voltage (12V) on gate and
drain terminals for a grounded source, while erasure occurs with the gate
grounded and the source at 12V.
Designing. Memory and Array Structures
11.21|
The contents of the ROM and NVRWM memories are integrated in the cell
topology or programmed into the device
characteristics, storage in RAM
memories is based on either positive
feedback or the capacitive charge.
141 Static Random-Access Memory (SRAM)
a Definition of SRAM
SRAM means Static Random Access Memory. Random access means that
locations in the memory can be read (or) written from in any order, regardless of
A Properties of SRAM
The attractive properties of SRAM are
High density (high bits per area) than lip-flops.
power is applied.
WL
VD
M2 M4
Mg
M5
M3
BL BL
11.23|
o) Read Operation
The read cycle is started by asserting
the word line (WL), which
the pass transistors Ms and M6 enables both
after the initial word-line
delay.
uring the correct read operation,
the values stored in O and Q are
transferred
tothe bit lines by leaving BL at its precharge
value and by discharging BL
through M,-Ms.
WL
Vpo
BL Ma
BL
Q=0 Me
Ms
Q=1
M1 VoD
VoD VpD
Cbit
Cbit
and Vprecharge
=
Vop)
V
(Q=1
WL
Vop
M4
Q=0
M5
Q=1
M1
VoD
BL= 1
BL = 0
VpD
low-threshold transistor
sleep
VoD, int
Vss, int
sleep
sleep p4
VDD, int
Definition
Dynamic` RAM is a type of RAM that holds its data and must be constantly
refreshed otherwise; it will lose all its contents.
DRAM stores their contents as charge on a capacitor rather than in a feedback
loop. Thus, the basic cell is substantially smaller than SRAM. DRAMS are built in
a specialized processes optimized fordense capacitor structures.
BL1 BL2
wWL
RWL WWL
RWL
M
X
M BL1 VDD
Vop-V
BL2 Vpp- V
BL
WL
1
Write Read 1
WL
M
C X
GND
VoD -V
VoD
BL
Vpy'2 isensing Vop2
CeL
This results in a voltage change on the bit line, the direction of which
determines the value of the data stored. The magnitude of the voltage swing is
given as,
AV= VBI- VpRE
Cg . (2)
(VgIT -VpRE)
Cgt CpL
VBL is the potential of the bit line after the charge redistribution.
VBT is the initial voltage over the cell capacitance Cs.
As the cell capacitance is normally one (or) two orders of magnitude smaller
than the bit line capacitance, this voltage change is very small, typically
around
250 mV for state-of-the art memories.
The ratio Cç/(C + CBL) is called the charge - transfer ratio and ranges
.between 1% and 10%.
Differences
The major differences between 1T and 3T, as well as other, DRAM cells are,
) A 1T DRAM requires the presence of a sense amplifier for each bit line
to be functional. This is a result of the clharge -redistribution based
readout. A sense amplifier is only needed to speed up the readout, not for
functionality considerations.
DRAM memory cells are single ended in contrast to the SRAM cells,
which presents both the data value and its complement on the bit lines.
This complicates the design of the sense amplifier.
Memory and Array Structures
11.29
The readout of
the lT
DRAM ccll is destructive.
(ü) This means that the
nount of
charge stored in the cell is modified
during the read
operation.
Afer a successtul read operation, the
originalvalue must be restored.
Unlike the 3T ccll that relies on
(m) charge storage on a gate capacitance, the
1T cell requires the presence
of an extra capacitance that must be
explicitly included in the design.
Fitting that large ofa capacitance as small as an area as
possible is one of
the key challenges in DRAM designs.
A CAM is a special ype of memory device that stores data, but also has the
ability to compare all the stored data in parallel with an incoming data in an
efficient manner.
-Transistor (9T) CAM cell
M4 M5 H
CAM CAM
s
Word
int
CAM CAM
M
Match
When the cell is to be written, complementary data is forced onto the bit lines,
while the word line is enabled as in a standard SRAM cell.
In the compare mode, the stored data (S and its complement S) are compared to
the incoming data, which is provided on the complementary bit lines (Bit and
Bit).
The Match line is tied to all the CAM cells in a given row, and is initially
precharged to VpD. If S and Bit matches, an internal node int is discharged, and
MIis turned off, by keeping the match line HIGH.
If the stored and incoming bit are different, int is charged to Vpp - V, causing
the match line to discharge.
For example, if Bit = VDp and S =0, int charges up through the M3. It is easily
verified that the circuit performs nothing other than an XNOR function (or
comparator).
The pul-down device in the comparator is connected to each of the CAM cells in
a row in a
wired-OR fashion. That is, even if only one of the bits in a given row
mismatches, the match line is pulled LOW.
For a memory with N rows, most rows (mismatches) will be pulled low in a
given cycle.
Drawbacks of CAM
The drawbacks of CAM are,
() CAMs are typically not very power efficient.
(i) It is possibleto re-arrange the logicsuch that only the match line switches.
causes a significant degradation in performance.
gming Memory and Array Structures
M
11.31|
Usage ofAAssociate Memory
in Cache Applications
Decoder
Logic
CAM
Address
ARRAY SRAM
Hit ARRAY
Input Drivers
Sense Amps / Input Drivers
Fig 11.26
Application of CAM cell: High -performance on-chip
cache memory
(ii)
Column - can be described as 2K
and block decoders It -input
multiplexers.
Where, M
and K are the widths of the respective fields in the address word.
|11.32 VLSI and Chip Design
WLo = Ag A,A, Ag A, A A, Ag
A
WL127 = A, A, A,
A, A, A, Ag Ay
A, ... (1)
This function can be implemented
normally in two stages,
input NANDgate and an using a single 8
inverter. For a single-stage
transformed into a wide
implementation, it can be
NOR using Demorgan's
rules:
WL, =
At Aj + A, +
A, + A,tAt
At A
WLj27 =
At A, t A, + Az + A,+ As+
Açt Ay ... (2)
To implement this
logic function, a 8-input
can face the following NOR gate is needed per row
challenges: and it
() The layout
of the wide-NOR gate must
fit within the word-line
(i) Thelarge fan-in pitch.
of the gate has a negative
impact in its
performance.
(iii) The propagation
delay of the decoder
to both the read-and is important, as it
write-access times. adds directly
(iv) Finally, the power dissipation
of the decoder has to be kept
in check.
(2) Static Decoder Design
g Drawback
Implementing awide-NOR
functionin complementary CMOS
is impractical.
Array, Structures
wgnting Memory and |11.33
One possible solution is to use a pseudo-NMOS design style, which allows for
implementation of wide NORS.
anefficient
an
Splitting a complexX gate into two or more logic layers most often produces
both a faster and a cheaper implementation.
Segments of are a
first logic layer called the
the address decoded in
word
nredecoder. A second layer of the logic gates then produces the final
line signals.
15
o
Word line
Address
input
(WL)
of an eight-bit decoder
Fig 11.27 Logic path
decoder and the expression for WLo can be
0 Consider a 8-input NAND
way:
regrouped in the following
As A, A,
WL, = Ag A, A, A, A,
+ (AtA,) ...(3)
(AgtA,) + (A,tA,) + (AtA,)
that
address is partitioned into sections of 2 bits
case, the
ror this particular
can be decoded in advance.
NAND gates to
combined using 4-input
0 The resulting signals
are then in
array word-line signals. This is illustrated
Produce the fully decoded
of
Fig 11.28.
11.34 VLSI and Chip Design
b- WLy
WLo
A1 Ao Ag A1 Ag A2 Ag Ag
oColumn decoders should match the bit line pitch of the memory array.
functionality of a column and The
block decoder is best described
multiplexer, where K stands for as'á 2-input
the size of the address word.
j g
For read-write arrays, these
multiplexers can be either separate or
between read and write operations. shared
BLo BL
BL2 BL3
Ao So
S1
S2
A1
S3
Only a single pass transistor is inserted in the signal path, which introduces
only a minimal extra resistance.
0 The column decoding is one of the last actions to be performed in the read
E Drawbacks
The drawbacks of column decodes are,
(i) This structure needs a large transistor count. (K + 1) 2k+ 2* devices are
needed for a 2*-input decoder. For example, a 1024 tol column decoder
requires 12,288 transistors.
VLSI and Chip Design
11.36
Ap
A1
Ag
A3
The amplifier compensates for the restricted fan-out driving capability of the
memory cell by accelerating the bit line transition.
Power Reduction
Reducing the signal swing on the bit lines can eliminate substantial part of
a
Sianal Restoration
are intrinsically linked in 1T DRAMS.
Because the read and refresh functions
range after sensing.
necessary to drive the bit lines to the full signal
Iis
4 Diferential Voltage Sensing Amplifiers
bit-line
small-signal differential inputs (i.e., the
takes
A differential amplifier single -ended output.
to a large -signal
Foltages), and amplifies them equally
an amplifier is rejecting noise that is
rejection in
Ihe common- mode especially attractive in memories
where the
This is
injected toboth the inputs. die to die and even for
different
signal varies from
€xact value of the bit line
locations on a single die.
VLSI and Chip Design
11.38
The signals common to both inputs are suppressed at the output of the
amplifier by a ratio called the Common- Mode Rejection Ratio (CMRR).
o The spikes on the power supply are suppressed by a ratio called the Power
Supply Rejection Ratio (PSRR).
M3 M4
Out
Bit M1 M Bit
SE Ms
Once the read operation is initiated, one of the bit lines drops. SE is enabled
when a sufficient differential signal has been
established, and the amplifier
evaluates.
Fig 11.32 shows a fully differential two-stage sensing approach along with the
SRAM bit column structure. The bit lines are connected to the inputs x and x
of the two-stage differential amplifier.
A read cycle proceeds as follows:
() In the first step, the bit lines are precharged toVDp by pulling PC LOW.
Simultaneously, the EQ-PMOS transistor (Equalization transistor) is
are identical.
turned ON, ensuring that the initial voltages on both bit lines
This operation is called as an equalization which is necessary to prevent
the sense amplifier from making erroneous exxcursions when turned ON.
In practice, every differential signal in the
memory is equalized before
performing a read.
(1) The read operation is started by disabling the precharge and equalization
devices and enabling one of the word lines. One of the bit lines is pulled
lowby the selected memory cell. A grounded PMOS load is placed in
parallel with the precharge transistor that limits the bit line swing and
Speeding up the next precharge cycle.
VISI and Chip Design
el
up (typically around 0.5 V), the se
(iii) Once a sufficient signal is built
on tha
amplifier is turned ON by raising SE. The differential input signal
bit lines is amplified by the two stage amplifier and eventually a rail to :
VoD
PC
Vop Vop
BL BL
EQ
WLi
SE -
SE
SRAM cell i
ASE
Diff.
VoD
X Sense x
Amp
- Output
Output
SE
By
pulsing the SE control
signal to be active for periods, then
the static power short evaluation
in the amplifier can be reduced.
single sense amplifier shared
A
is between
multiple columns by inserting the
column decoder pass
transistors between the
memory cells and an amplifier.
This results in both area
savings and power
reduction.
Memory and. Array Structures
iening
-Coupled CMOS Inverter 11.41|
Cross Latch
EQ
BL
BL
VDD
SE
SE
on the bit lines, which is exactly needed for a 1T DRAM." Therefore. tha
Cross-coupled cell is, almost universally used in DRAM designs.
VoD
Mdrive
VREF J Marive
VREF VDL
VoL
Voles
representation
Fig 11.34A voltage regulator and its equivalent
a voltage down converter. It is also
Fig l1.34 shows the basic structure of
on an operational amplifier.
called linear regulator as based
output driver transistor to drive the load of the
0 The circuit uses a large PMOS
to set an output voltage
memory circuit. This circuit uses negative feedback
VDL to the reference voltage.
operating
converter must offer a voltage that is immune to variations in
O The
as temperature changes can be compensated
conditions, Slow variations, such
by the feedback loop.
can unstable if improperly designed. The load current
This feedback circuit be
designed
load wildly over time, and the coverter must be
Can be drawn bythe
accommodate these wide variations.
O
14 Charge
Pumpsh techniques often needs voltage
sources
Word-line boosting and well biasing
current. A
charge pump
voltage, but do not draw much
that exceeds the supply
task.
is an ideal generator for this
VLSI and Chip Design
11.44|
VpD 2VDD-V
VB
Mq VDp-VT
CLK 0V
Cpump
M
Vload
Cload Vioad
OV
Q Cpump
(VDD-V) .(6)
During the second phase,
CLK goes LOW and
raising node A to Vpp. Node B
rises in concert and
effectively shutting
off M1.
When B
is one threshold
above Vload, starts to conduct and.
M
transferred to Cload
During consecutive
charge is
deliver charge clock cycles,
Vload
ntil the maximum the pump continuous to
the output. voltage of 2
(Vpp- VT) is reached at
The amnount
of current
determined
that can be drawn
bythe capacitor's from the generator is primarily
size andthe clock
The efficiency frequency.
of the generator,
during every pump which measures
cycle, is between how much Current is wasted
30% and 50%.
Memoryand Array Structures 11.45
iging
pumps are used for generators that draw little current. Á wide range of
Charge
ore complex charge pumps have been devised for larger the voltage ranges
efficiency.
and improved
Voltage Reference
X R1
M5
M1
VREF
R2
M
M4
M3
reference generator
Fig l1.36A simple V
0 reference generator.
The bottom devices (M, and M)
Fig 11.36 shows a VT
drain of and M
Vas, MI
2 IM1
n. (7)
| |= |Vr,I+
current of M.
The currents which are going through the resistor and the drain
both equals
|R and M, acts as a biasing transistor.
M and M experience the same gate-to-source voltage, then the drain current
of M
is mirrored to Ms. The reference voltage is expressed as,
R2 . (8)
VREF
|Vpl'R
(4) Drivers/ Buffers
A
major part of memory-periphery area is allocated to the drivers and it is in
particular to the address buffers and the /O drivers.
11.47|
static and dynamic memory.
memories can further
volatile
The be divided into
static structures and i dynamic
structures, The static will retain
their data as long as
the supply voltage is-
retained, while dynamic need periodic
refreshing to compensate
for the chage
lsS Caused by the leakage.
s
(ii) required to charge the bit lihe capacitance, is quite hich
All currents
SRAM means Static Random Access Memory. Random access means that
locations in the memory can be read (or) written from in any order, regardless of
the memory location that was last accessed.
SRAM uses a memory cell with an internal feedback which retains its value as
long as the power is applied.
13. Listthe properties of
SRAM.
RAM (DRAM)
Dynamic
is a type of RAM that holds its data and must be
constantly.refreshed. Otherwise, it will
lose all its contents.
DRAM stores their contents as charge on a
capacitor rather than in a feedback
Thus, the basic cell is substantially smaller
Joop. than SRAM. DRAMs are built
specialized processes optimized for dense capacitor structures.
in a
K Write the properties of 3T DRAM.
WL
CeL=
(i) Reading the 3T contents are nondestructive that is the data value storod
in the cell is not affected by a read.
(iii) No special process steps are needed. This property makes the 3T cell
attractive for embedded memory applications.
Limitations of 3T DRAM over 1T DRAM
Toreduce the cellcomplexity of 3T DRAM, one-transistor DRAM cell
(1T) are used in the commercial memory design.
18. Write the major differences between 1 and 3T, as well as other, DRAM cels.
The major differences between 1T and 3T, as well as other, DRAM cellsare,
() A 1T DRAM requires the presence of a sense amplifier for each bit line
to be functional. This is a result of the charge -redistribution based
readout. A sense amplifier is only needed to speed up the readout, not
for functionality considerations.
DRAM memory cells are single ended in contrast to the SRAM cells,
which presents both the data value and its complement on the bit lines.
This complicates the design of the sense amplifier.
(ii) The readout of the 1T DRAM cell is destructive. This means that the
amount of charge stored in the cell is modified during the read
operation.
drawbacks of CAM.
B Litthe, are
The drawbacks of CAM
CAMs are typically not very power efficient.
(6) It is possible to re-arrange the logic such tht only the match line
switches, causes a significant degradation in performance.
(i) As the number of inputs to the NAND gates is halved, the propagation
delay is reduced by approximately a factor of 4. That is, squared
dependency between delay and fan-in.
21. List the drawbacks of column decoder.
The drawbacks of column decodes are,
) This structure needs a large transistor count. (K+ 1)
2 + 2
devices are
needed for a 2K-input decoder. For example, a 1024 tol column decoder
requires 12,288 transistors.
(i) The transient response at node D is proportional to the number of inputs
of the multiplexer.
2. Mention the functions of senseamplifiers.
11.6 REVIEW
QUESTIONS
with neat
3. Discuss in detail about the Contents Addressable Memory (CAM)
sketch.
4. Write note on
() Read Only Memory(ROM)
(ii) Nonvolatile Read -Write (NVRM) memories.
Chapter 12
ASIC DESIGN
12.1.1 Introduction
The manufacture of each semiconductor components products requires hundreds
of processes. After sorting, the entire manufacturing process is divided into eight
steps
() Wafer processing.
(ii) Oxidation,
(i) Photolithography,
(iy) Etching,
process
Fig 12.1 Semiconductor manufacturing
VLSI and Chip Design
12.2
12.1.2 Steps
(1)Silicon Wafer Manufacturing
All semiconductor processes start with a grain of sand. Because
the silicon
contained in sand is the raw material needed to produce wafers, A wafer is a
round slice formed by cutting a single crystal column made silicon
of (Si) or
gallium arsenide (GaAs).
(2) Oxidation
The silicon wafer manufactured in step 1 is not yet conductive. It has to go
through a process to make the wafers semiconductive.
o First, wafers go through the oxidation process. Oxygen or water vapor is
sprayed on the wafer surface to form a uniform oxide film.
WetOxidation Method
Dry Oxidation Method
Use oxygen Use water vapor
Slow speed and thin oxide Fast speed and thick oxide
layer layer
responds to light is applied thinly and evenly on the Oxide film previously
placed on the wafer.
Now, when light transfers the pattermed photo mask, the circuit is drawn on
the wafer surface. Just like developing a photo, a circuit pattern is imprinted
on the wafer by spraying, developer and removing unlit areas from the areas
After an inspection of the wafer to check whether the pattern is drawn well
and it moves on to the next step. Photomask can be divided into three steps
(üi) Development.
Photoresist
DE
Oxide layer
Wafer
Positive Photoresist
Negative Photoresist
Fig 12.5 Coating photoresist
AJC Design.
12.5
(4) Etching
Now it is time to remove unnecessary
materials from the wafer surface so
only the design pattern that
remains. This is done using a
liquid or gas etching
technique. All unnecessary materials are
selectively removed to draw the
desired design.
Mask
Materialto etch
Si-substrate.
No
Undercut Undercut
siO2 PR.
Si
Barrier Metal
Aluminium
Oxide filim
nplant
Wafer
12.7
It is a process that allows electricity
to flow by depositing a
nsing materials such as thin metal film
aluminum, titanium or tungsten so
pass through that electricity can
the semiconductor wells.,
3) Packaging
This is the last process, the packaging process. The wafer completed through
the previous steps are cut into individual semiconductor chips that can be
loaded on an electronic semiconductor device.
An individual chip must have a path to exchange electrical signals with the
outside and havea form to protect it from various external elements.
Metal lon
Testing Deposition
wiring implantation
Packaging
12.2.1 Introduction
a Definition
A
microchip is also called a
chip, computer chip or Integrated Circuit (1C)
which is a unit of integrated circuitry that is manufactured at a microscopic
scale using a semiconductor material, such as silicon or, to a lesser degree,
germanium.
Electronic components, such as transistors and resistors, are etched into the
material along with intricate connections that link the components
in layers,
together andfacilitate the flow of electric signals.
Microchip components are so small and are measured in nanometers (nm). Some
components are now under 10 nm, making it possible to fit billions of
components on a single chip.
a Chip Design
Allchips are made using basic elements which are known as transistors. The
Metal Oxide Silicon Field Efect Transistor (MOSFET) is the basic building
block of digital chips which isused to imakecomplex circuits.
ODesgn,
12.9
12.2.2 Trends in Chip Design
he modern trends in chip design together with
advance EDA tools have
dhe design made
of chips more scalable and more reliable than ever
before.
The nhysical size
of transistors has decreased enormously over
past decade. This
led to both very large chip and also a low voltage
chip design which mean's that
chips consume very less power, even a
few micro-watts of power. This allowed
high scalability of chips in various
markets and industries both in terms chip
of
size and market penetration,
(i) Complex modules which are dependent on the data and are involved in
decision making are processed on the software.
Finally, IP cores are very .important for chip design process. If whenever a
&
designer has to implement a complex design, he can use IP cores save time and
reduce development risk.
) System Specifications
The first and most important step of the chip design
process is defining and
CTeating the specification of the system.
2) Architecture
Design
we decide which
ne next step is to. design the architecture of the system where
blocks are system is going to operate.
going to be used and what hierarchy level this
VLSIand Chip Destgn
J12.10
System Specifications
Architecture Design
Modification
Required
Logic
Verification
Physical Design
Modification
Required
Physical
Verification
Chip Fabrication
(4)Logic Verification
When the schematic design of
the system is complete, the next step isto verify
the system functionality. This can be done using
the simulations in sametool.
ASICDesign
12.11
This step is important, as
it will help to verify at the initial
level and if any
issue is found in the functionality
of the system, it can be removed in the start.
Tf any issue is
found, then one has to go back to the
schematic design level and
debug for issues and come up
with the updated schematic. The verification
waveforms for the above system are
shown. In complex systems, the
simulation and verification the systems
of will be a tougherjob.
s)
Physical Design Layoutos
istetsttar bra 3 s
bait
The next and most important step is to translate the system to. physical level.
At
this level, the
schematic is converted into physical layout using basic building blocks.
(6)
Physical Design Verification
Before going to fabrication facility, the verification of physical layout is required.
For that multiple verification
techniques are used as follows
(i) Design Rule Check (DRC) where the designing tool checks for any
violations in the design rules, like metal spacing, contact sizes etc,
(ii) LayoutVs Schematic (LVS) check is used to verify if the layout designed
is similar to the schematic design, and checks all the connections and
verifies them, and
(iii) Timing and Power Analysis is used to verify if the layout made violates
any timing issue and adds unnecessary delays, if there is any violation,
this can be removed by adding inverters and buffers, where required.
so this
Poor planningof timing delays results in lower frequency of operation,
validation and correction of timing delays is important for any designer.
power analysis shows that how much power the system is going to consume
The
() Specification Problems.
Insufficient definition.
Lack of necessary conditions.
Misunderstandings between people.
(ii) Implementation Problems.
Insufficient performance.
Improper block partitioning.
Block interface mismatching.
Excessive power consumption.
(iii)Verification Problems.
Slow software simulation.
Problems with the hardware -software interface.
System function verification.
System, in response to the input signals provided by the end users or Sensors
swhich are connected to the input ports.
Memory
P System O/P
Ports Core Ports
Other supporting
Integrated circuits
and Subsystems
Embedded System
- Microcontrollers.
12.3.2
General Purpose and Domain specific
Processors
purpose domain specification processors, almost 80% of the
n general and
Gmbedded systems are processor/ controller based.
The processor may be
VLSI and Chip Destgn
(1)Microprocessor
representing a central processing unit It
A microprocessor is a silicon
chip
requires the combination of other hardware lie
which
is a dependent unit etc. jor proper functioning.
memory, timer unit, and interrupts controller,
are available
we have two ifferent system architectures
To design up,
memory.
Harvard -Contains Separate buses for data and program
()
Von-Neumann- Shared
a Single bus for both.
(iü)
are available
Two Instruction set Architecture
(2) Microcontroller
IOports.
Some embedded system application require only 8 bit controllers wherets
some requiring superior performance and computational needs demand 1632
bit controllers. The instruction set of a microcontroller can be RISC or CISG
application
Microcontrollers are designed for either general purpoSe
requirement or domain specific application requirement.
Advantages of
PLDs
Ø Advantages of COTS
(1) Ready to use.
System on chip (S0C) is an integrated circuit where all the functional elements
peripherals are
such as dedicated hardware, processo, memory, VO, and
embedded onto a requirements.
single platform chip to meet
the product design
ASICDesign |12.17
Dedicated /O and
Hardware Processor Memory
Peripheral
Computer Network
Soc Specification
Architecture Design
(Hardware/ Software
Partioning)
RTL Design
Front-End HWISW
Design Cosimulation
Functional Simulation Software Testingand
and Verification J
Refinement
Final code
Physical
Design Timing Verification
(Back-End) and Signoff
Physical \Verification
Design GDSI
Manufacturing
Post-Silicon Validation
and Integration
Mass Production
a
Fig 12.13 SoCDevelopment Overvieyl oe!
12.19
ASICDesign
(a) Translation,
(b) Mapping,and
(c) Optimization.
Physical Design is the process of translating the gate level netlist into a
physical layout. This physical layout consists of various metal shapes and
sizes which can be drawn onto masks and manufactured on the silicon wafer.
The Physical Design process can be broken down into multiple stages as
illustrated in Fig 12.14.
() Floor planning
Floorplanning is the first step of physical design. The design is s
partitioned into various smaller subsystems based on the system
architectuv
and design requirements.
ASICDesign
Floor planning
Implementation
Logic Placement
Physical
Routing
PhysicalVerification &
Signoff
Once all the standard cells are legally placed and the clock network is
synthesized, all the connecting data nets neced to be laid out on the metal
layers. This is done during the routing stage.
After routing all the nets, a number of optimizations are performed based on
the design timing requirements and analysis.
(v) Timing Analysis & Signoff
After the design routing, static timing analysis
is performed on the design.
This step is critical to analyze the performance
of the design.
The timing signoff ensures that all
the design elements are meeting the
specified timing requirements and
the design is working at the desired
frequency.
(vi) Physical Verification & Signoff
After the routing is completed,
the layout must be completely
ensure its correct verified to
electrical and logical functionality.
The physical verification
signoff ensures that the
fabrication specified rules design meets all the
and can be easily manufactured.
(6) Design for Manufacturing
o At the end
of the physical design process,
simulation and other the design is analyzed
tools to make sure with
that it meets the specified
parameters such as operational
frequency, power consumption,
electrical integrity. functional integrity and
7)Post-Silicon
Validation and Integration
The post-silicon validation offers
the benefit of running at real system
(range of GH), as the tests are performed on speeds
the manufactured silicon chips.
But it is more complex due to the physical nature
of the validation target. In
addition, post-silicon validation is usually done on a
strict schedule, in order
to meet the time-to-market requirements.
(i) Cost-effectiveness
SOCs can be more cost-effective than traditional ICs due to their high degree of
Miegration and the use of standard cell-based design techniques.
(") Improved performance
SOCs can design and the use of
offer improved performance due to their efficient
high-performance
components.
VLSI and Chip Design
12.24
(vi) Reliability
and the
improved reliability due to their high level of integration
SOCs can offer process.
testing and validation techniques during the design
use of advanced
() Wafer processing,
(i) Oxidation,
(ii) Photolithography,
(tv) Etching,
(vii) Testing,
and.Ta eot aa als
(vii) Packaging.
2. Define Ion implantation.
Ion implantation is a low-temperature
technique for the
introduction ofimpuriu
(dopants) intto semiconductors
and offers-more
instance, in MOS transistors, flexibility than diffusion. For
ion imnplantation can be
the threshold voltage. used to accurately adjust
ASIC
Design
() PLDs offer customer much more flexibility during the design cycle.
(1) PLDs do not require long lead times for prototypes or production parts
because PILDs are already on distributors shelf and ready for shipment.
8. Define SOC.
System on chip (SOC) is an integrated circuit where
all the functional elemant.
are
such as dedicated hardware, processor, memory, VO, and peripherals
embeddedonto a single platform chip to meetthe product design requirements.
9. List out the advantages of SOCover traditional ICs
as follows:
SOCs offer several advantages over traditional ICs
() Compact size
can
By integrating all the components of a system onto a single chip, SOCs
significantly reduce the size of electronic devices.
(iii) Cost-effectiveness
SOCscan be more cost-effective than traditional ICs due to their high degree of
integration and the use of standard cell-based design techniques.
(iv) Improved performance
SOCs can offer improved performance due to their efficient design and the use of
high-performance components.
13.1.1 Introduction
Definition
With a stuck at fault model you are applying a structural test approach. Instead of
testing all combination of 1's and 0's to a VLSI device, you will test witha
reduced set of test vectors. Stuck at Fault Models operate at the logic model of
digital circuits. An input or an output can be Stuck at Zero (S@0) or Stuck at
One (S@l).
13.1.3 Examples
Input
utput
(a) Inverter
A D
A S@0 0 1
D S@1 1 1
D S@0 1
Fault Excitation
1 ERROR
A 1/0
ERROR
1/0
C
E
B
0 A M1
Hh stuck Open
M2
Test Vector
M3 M4
M. )Stuck-Short
B M2
Test Pattern
Mg M4
High Current
Flowing
a Definition
d ASIC design methodology uses chips with an array of prefabricated gates (gate
arrays) or chips based on libraries of standard function cells (standard cell
design).
Silicon
die
(a)
0.1 inch (b)
utey12 Fig 13.5 A silicon chip
An ASIC will have an
embedded CPU manage
may be implemented as to the suitalble tasks, An
an FPGA..
It ASI
implemented using maybe considered separately (OL)
hybrid technique with
programmable lopgic
specific blocks. and application
Modern ASICs often
include 32-bit processors,
RAM, EEPROM, memory
flash memory. Such an blocks such as ROM,
ASIC is called as
Svstem on Chp
TestBenches
13.7
(SoC). Hardware Description Language
(HDL) such as Verilog (or) VHDL is
used for implementing ASICs.
Advantages *oi3inn
Someof the important advantages
of ASICs are:
(i) Better performance due to the customized
design.: o22299
(ii) High reliability.
(ii) More secure for the design circuit.
(iv) Cheaper cost.
h(v) Lower power dissipation. 2utob lams3
(vi) Faster turn-around time.
E Disadvantages
Definition
In full custom ASIC, some (or) all of the logic cells, circuits (or) layout
a
specifically for one ASIC are customized by the designer. In this design, every
transistor is designed and drawn by hand.
Here, the circuit is partitioned into sub-blockS and each block can be of any
size/shape. Placement on any location is allowed in fullcustom design style.
Specification
System
Architecture
Logicdesign
Circuit design
Logout
Advantages
Standard - celi
area
Fixed
blocks
OODD
0.02 in
500 um
Ihe Fig 13.10 illustrates the standard cell library which is used in the semi
Design Optimization
Standard
cells
Layout
() Cell based design has smaller, faster and lower power chips than gate
arrays.
(i) Higher productivity due to theuse of predefinedcells.
(ii) High performance and flexibility.
(iv) Less design time.
(v) Minimum risk.
(vi) More flexible to include digital as well as analog functions.
Disadvantages
(iii) Wasted chip area will be high due to the area occupied
by the wiring
channels can exceed 50% of the internal chip,
a Definition
In a
gate array (or) gate-array
based ASIC, the transistors masks are
compietely predefined on the silicon wafer. The predefined pattern of transistors
on a gate array is the base array.
Benches
fest |13.13
The smallest element which is replicated to form the
base array are called
base cell (or) primitive cell.
Macro
Both the cell-based and gate-array ASICs use predefined cells. In standard
cel, the fransistor sizes change in order to optimize speed and performance,
we can complete the
whereas the gate array use fixed size of transistors. Here,
diffusion steps that form the transistors and then stockpile wafer. Hence,
gate
array is called prediffused array. The tradeoff between areas and
performance should bemade in a standard cell
ASIC.
time and
Prediffused array uses macros (books) to reduce turnaround
gate-array is classified into
comprises a base array made from a base cell. The
three different types.
base cell
S
io () Only some mnask layers are customized such as
interconnect.
(i) Manufacturing lead time is between two days
and two weeks.
There is nopredefined area for routing between
the cells on a channelless gate
array. Routing is done over
the top of the gate array devices as the first layer
of metal defining the connections between
metal is customized.
When an area of transistors is used
for routing, the transistors are left unus
k
inspite of connecting the devices
using contacts.t oin.
leigoust?
Customizing the contact
layer in a channelless gate array allows us to increase
the density of gate-array cells because
we can route over the top of unused
contact sities.
Benches
Tst 13.15
base cell
array of.
base cells
embedded
block
base cells
() Placement:
Decide the locations of cells in blocks.
(e) Routing:
Make the connections between cells and blocks.
Test Benches |13.17
h) Extraction:
Determine the resistance and capacitance of the interconnect.
) Post layout Simulation:
Check the design still works with the added loads of the
interconnect.srtu2
VHDLVeilog
A B
system
partitioning
hnnnnnn
postlayout floorplanning
simulation
chip
placement
block
physical
circuit routing design
extraction 8
IIogic cells
back-annotated
netlist finish
Testbench
(Design Block)
Ripple Carry
Counter
Top-Level Block
d_clk clk
Stimulus Block
Design Block
d_reset reset Ripple Carry
Countera
C_9
13.20|
use as our test bench are given
which we can
an empty module
The syntax for
as.
name> 0;
module <module
1
2
goes there
// our testbench code
4
name>
endmodule : <module
5
design
module, we must then instantiate the
we
have created a testbench
After
us connect signals to the design in order to
allows to
which we are testing. This
stimulate the code.
1 <module_name> # (
herel
module uses parameters they are connected
2 // If the
<parameter_name> (<parameter_value>)
3
4
5 <instance_name>
6 1/ collection to the module parts
7 <port_name> (<signal_name>),
<port_name) (Signal_name>)
Once we have done this, we are ready to start writing our stimulus to the FPGA.
This includes generating the clock and reset, as well creating test data to send to
the FPGA.
delays. As an example, the verilog code below shows an example of using tne
delay operator to wait for 10 time units.
1 #10
Benches
Tst 13.21
Thiseffectively
acts as a scheduler that is the change
in signal is scheduled to
take place after the delay time.
1
|/A is set to 1 after 10 time units
2 #10 a =
1' b1;
compiler directive which specifies the time unit and resolution. We only need to
do thísonce in our testbench and it should be done outside of a module.
The code snippet below shows the compiler directive we use to specify the time
units in verilog.
1
timescale <unit time> /<Kresolution>
We use the <unit time> field to specify the main time unit of our testbench and
the <resolution> field to define the resolution of the time units in our
simulation.
1 initial1 begin
2 I/ Our code goes here
3 end
13.5.5 Example
a gate. To do this,
For example, imagine that we want to test basic two inputs and
we would need code which generates cach of the four possible input
combinations.
VLSI and Chip Design
13.22
In addition, we would also need to use the delay operator in order to wait for
some time between generating the inputs. This is important as it allows time for
1 initial begin
an
2 I/ Generate each input to AND gate
3 I/ Waiting 10 time units between each
=
4 and_in 2b'00;
5 #10
=
6 and_in 2b'01;
7 #10
8 and in = 2b10;
9 #10
and in =
10 2b'11;
11 end.
(b) FPGA
3. What is ASIC?
An Application Specific Integrated Circuits (ASIC) is an integtated circuit
designed- for performing a particular operation, instead of general-purpose
operation. The chips may also be designed for the own applications.
The smallest element which is replicated to form the base array are called base
cell (or) primitive cell.
Only the interconnect is Only the top few mask layers are
customized. customized.
s
15. Give the steps in ASIC design flow. kogt
The basic steps in ASIC design flow are as follows:
Design entry.
Logic synthesis.
System partitioning.
Pre layout simulation.
Floor planning.
Placement.
Routing.
Extraction.
ASICs are not suitable for research FPGAS are useful for research and
and development purposes, as they development activities. Prototype
6. are not reconfigurable. fabrication using FPGA is
affordable and fast.
17. Define test benches.
non
Test benches consist of stimulus block, output checker and the DUT. The
checks
synthesizable verilog code of test bench generates inputs to the design and
generates the inputs to our FPGA
that the outputs are correct. The stimulus block
outputs to ensure they have the correct
design and the output checker tests the
values.
Y.
network Z
In this case, U
to be 1
under normal circumstances that is A = l and B =1. Next
the faulty signal has to propagate to output node Z, So that it
can be observed,
14.2.1 Introduction
The key is todesigning the circuits that are testable for both controllability and
observability of a net determine whether a fault at that net can be detected.
Controllability is the ability to set (to 1) and reset (io 0) every node internal to
the circuit.
Definition of DFT
This technique is used for the bus in a bus-oriented system for the test purposes.
Each register has been made loadable from the bus and capable of being driven
onto the bus.
& Here, an internal logic values that exist on a data bus are enabled onto the bus for
the testing purposes. Frequently, multiplexers can be used to provide alternative
signal paths during testing.
4 In CMOS, transmission gate multiplexers provide a low area and delay overhead.
Any design should always have a method of resetting the internal state of the
chip within a single cycle of atmost a few cycles.
This makes the testing easier and also makes simulation faster as only a few
cycles are required to initialize the chip.
VLSI and Chip Design
14.4
Scan-in Scan-in
CLK
SCAN
Scan-out
Flop
For the circuit to load the scan chain, SCAN is asserted and CLK is pulsed eight
times to load the first two ranks of 4-bit registers with data
4 SCAN is deasserted and CLK is asserted for one cycle to operate the Circuit
normally with predefined inputs.
SCAN is then reasserted and CLK asserted eight times to read the stored data
out. Atthe same time, the new register conterts can be shifted in for the next test.
Testing proceeds by serially clocking the data through the scan register to the
right point in the circuit, running a single- system clock cycle and serially
clocking the data out for observation.
o In this scheme, every input to the combinational block can be controlled and
every output can be observed. Test generation for this type of test architecture
can be highly automated.
Extending this with the serial scan process is called as random access scan,
which is similar to that used inside FPGAs to load read the control RAM.
Fig 14.3 shows a two-by-two register section. Each register receives a column
(column <m>) and row (row <n>) access signal along with a row data line
(data < n >).
VLSIand Chip Design
14.6
column <m+1>
column <m>
write
row<n+1> CLK
CLK
dala<n+1>
Ho Flop
Flop write.
column
data
row
Logio CLK
Cloud
write D
row<n> CLK
data<n>
Fiop HFiop
Customized Register
A global write signal (write) is connected to all registers. By asserting the row
and column access signals in conjunction with the write signal, any register
can be read or written in exactly the same method as a conventional RAM.
The setup time increases by the delay of the extra transmission gate series
in
with the input as compared to the ordinary static flip-flop.
D
Fig 14:4 (c) shows a circuit using clock gating to obtain nearly
the same setup
time as the ordinary flip-flop.
SCAN CLK
Flop
(a) (b)
D
SCAN X
s
SI T
(c) (d)
The Built-In Self-Test (BIST) relies on augmenting logic circuits to allow them
0
to carry out operations upon themselves that prove the correct operations of
the logic'circuit.i
These technique add an area to the chip for the test logic, but reduces' the test
timerequired and thus can lower the overall system cost.
One method of testing a module is to use signatire analysis or Cyclic
Redundancy Checking (CRC). Both involvès using Pseudo-Random
Sequence Generator (PRSG) to produce the input signals for. a section of.
combinational circuitry and a signature analyzer to observe the output signals.
VLSI and Chip Design
14.8
CLK
Q{1) Q[2]
Flop Flop Flop
(a)
f(x)= 1
+x+x³
CLK
(b)
The XOR of particular outputs are fed back to the input of the LFSR. An n-bit
LFSR will cycle through 2"
-1 states before repeating the sequence. They are
described by a characteristics polynomial indicating which bits are fed back.
* A Complete Feedback Shift Register (CFSR) is shown in Fig 14.5 (b), includes
the zero state that may be required in some test situations.
When in state 0...01,the next state is 0..00. When in state 0...00, the next state
is 10...0. Otherwise, the sequence is the same.
Testing |14.9|
4 Alternatively, the bottom n bits of n+1 bit LFSR can be used to cycle through
all zeros state without the delay of the NOR gate.
The syndrome is reset to 0 and then XORed with an output on each cycle. The
syndrome isswizzled at each cycle so that a fault in one bit is unlikely to cancel
itself out.
At the end of a test sequence, the LFSR contains the syndrome that is a function
of all previous outputs. This can be compared with the correct syndrome (derived
by running a test program on the good logic) to determine whether the circuit is
good or bad.
(1) BIST
of signature analysis and the scan technique creates known
The combination
as Built -In Self -Test (BIST) or Built-In Logic Block Observation
(BILBO).
The 3-bit BIST register is shown in Fig 14.6, which is a scannable, resettable
register that also can serve as a pattern generator and signature analyzer.
the mode of operation. In the reset mode (10), all the flip
C[1:0] specifies
flops are synchronously initialized to 0. In the normal mode (11), the flip
flops behave normally with their D input and Q output.
In scan mode (00), the flip-flops are configured as a 3-bit shift register
between SI and SO. In test mode (01), the register behaves as a pseudo
random sequence generator or signature analyzer.
If allthe D inputs are held LOW, the Q outputs loop through a pseudo-random
bit sequence, which can serve as an input to the combinational logic.
VLSI and Chip Design
14.10
D[1] DI2)
D[O)
C{1]
|Q2]/ so
SI1
Jooj
D Flop
Q1].
(a)
loud Analyzer
1 0
Reset
Normal 1 1
(6)
If the D inputs are taken from the combinational logic output, they are.
The overall summary of BIST, initially BIST is performed by first setting the
syndrome in the output register. Then both registers are placed in the test
mode to produce the pseudo-random inputs and calculates the syndrome.
o. Finally, the syndrome is shifted out through the scan chain.
(2)Memory BIST
InMBIST scheme, multiplexers are placed on the address, data, and control
inputs for the memory to allow direct access duringtest.
The data is read back, checked, then the inverse pattern is also applied and
checked.
ROM testing is even simpler: the contents are read out toa signature analyzer
to produce a
syndrome.
analysis.
If both ADCS and DACS are present, a loopback strategy can then be
employed, which is shown in Fig 14.7.
Data
DAC
Test
Digital Analog
Loopback Loopback
To wrapper ADC
analog self-test.
a Defensive Design
When a bridging fault occurs, then for some combination of an input conditions,
a measurable DC Ipp will flow.
Testing consists of applying the normal vectors, allowing the signals to settle,
and then measuring Ipp.
As potentially only one gate is affected, the IDDQ test has to be very sensitive. In
addition, to be effective any circuits that draw DC power such as pseudo-nMOS
gates or analog circuits have to be disabled.
As the current measuring is slow, the tests must be run slower than normal,
which increases the test time.
IDDQ testing can be completed externally to the chip by measuring the current
drawn on the Vpp line or internally using specially constructed testcircuits. This
technique gives a form of indirect massive observability at the little circuit
overhead.
Testing 14.13
(1) Physical
At the physical level (i.e., mask level), the yield and hence the
manufacturability can be improved by reducing the effect of process defects.
The design rules for particular processes will frequently have guidelines for
the improving yield. The following list is representative
This reduces the chance that a misalignment will cause an aberration in the
contact structure.
(iü) Increase the number of vias at wire intersections beyond one ifpossible:
(2) Redundancy
The redundant structures can be used to compensate the defective components
on a chip.
For example, memory arrays are commonly built with extra rows. During the
manufacturing test, if one of the words is found to be defective, the memory
can be reconfigured to access the spare rovw instead.
VLSI and Chip Design
14.14|
(3) Power
excess current in wires, which in
The elevated power can cause failure due to
turn can cause metl migration failures.
High-power devices raises the die temperature, that degrades the device
performance and at the same time, causing device parameter shifts.
The method of dealing with this component of manufacturability is to
minimize power through the design techniques. A suitable package and heat
sink should be chosen to remove an excess heat.
(4) Process Spread
Process simulations can be carried out at different process corners. Monte
Carlo analysis can provide better modeling for process spread and can help
with centering a design within the process variations.
(5)Yield Analysis
When a chip has poor yield or will be manufacturedin high volume, dice that
fails the manufacturing test can be taken to a laboratory for yield analysis to
locate the root cause of the failure.
If particular structures are determined to many of the failures, the layout of the
structures can be redesigned.
14.3SCAN TEST
Many system defects occur at the board level, including open or shorted printed
circuit board traces and incomplete solder joints.
At the board level, "bed-of-nails" testers historically were used to test the
boards. In this type of a tester, the board-under -test is lowered onto a set of test
points (nails) that probe points of interest on the board.
Testing
|14.15|
These can be sensed (the observable points)and driven (the controllable points)
totest the complete board. At the chassis level, software programs are frequently
used to test a complete board set.
When a computer boots, it might run a memory test on the installed memory to
detect the possible faults.
The increasing complexity of boards and the movement to technologies such as
surface mount technologies, the boundary scan is used for testing chips at the
board (and system) level.
Boundary scan was originally developed by the Joint Test Access Group (JTAG)
and has become a popular standard interface for controlling BIST features as
well.
The IEEE 1149 boundary scan architecture is shown in Fig 14.8. All of the I/O
pins of cach ICon the board are connected serially in a standardized scan chain
accessed through the Test Access Port (TAP), so that every pin card can be
observed and controlled remotely through the scan chain.
Package Interconnect
D
CHIP B CHIP c
CHIPA CHIP D