0% found this document useful (0 votes)
10 views

Photolithography Technology in Electronic Fabrication: Xiao Ming Hu

Photolithography

Uploaded by

21130029
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
10 views

Photolithography Technology in Electronic Fabrication: Xiao Ming Hu

Photolithography

Uploaded by

21130029
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 8

International Power, Electronics and Materials Engineering Conference (IPEMEC 2015)

Photolithography technology in electronic fabrication


Xiao Ming Hu 1
1
Dept. electronic & electrical engineering, Wuhan railway vocational college of technology, Wuhan,
Chain
Email: [email protected], Wuhan jiangxia district hidden dragon road, no.1, 430205

Keywords: photolithography, photomask, EUV(12nm)

Abstract. The paper introduce the photolithography technology. First, the writer explain the
process of photolithography. a modern wafer (form IC) will go through a photolithography cycle
up to 50 times, some 100 times more. then, the article illustrate photoresist , photoresists are
classified two groups :positive resist and negative resist. another important technology is photomask,
it is the mass production of IC device,worldwide photomask market was estimated as 3.2 billion
in 2012.at the last ,the paper introduce the photolithography machine(tools). The newest feature size
of photolithography machine will bring us to 12nm time, maybe 2016.

Photolithography technology
Photolithography, also termed optical lithography or UV lithography, is a process used in
microfabrication to pattern parts of a thin film or the bulk of a substrate. It uses light to transfer a
geometric pattern from a photomask to a light-sensitive chemical "photoresist", or simply "resist,"
on the substrate. A series of chemical treatments then either engraves the exposure pattern into, or
enables deposition of a new material in the desired pattern upon, the material underneath the photo
resist. For example, in complex integrated circuits, a modern CMOS wafer will go through the
photolithographic cycle up to 50 times.
Simplified illustration of dry etching using positive photoresist during a photolithography process
in semiconductor microfabrication (not to scale).
A single iteration of photolithography combines several steps in sequence. Modern cleanrooms
use automated, robotic wafer track systems to coordinate the process. The procedure described here
omits some advanced treatments, such as thinning agents or edge-bead removal.[1]

Preparation and Cleaning


The wafer is initially heated to a temperature sufficient to drive off any moisture that may be
present on the wafer surface, 150°C for ten minutes is sufficient. Wafers that have been in storage
must be chemically cleaned to remove contamination. A liquid or gaseous "adhesion promoter",
such as Bis(trimethylsilyl)amine (HMDS), is applied to promote adhesion of the photoresist to the
wafer. The surface layer of silicon dioxide on the wafer reacts with HMDS to form tri-methylated
silicon-dioxide, a highly water repellent layer not unlike the layer of wax on a car's paint. This
water repellent layer prevents the aqueous developer from penetrating between the photoresist layer
and the wafer's surface, thus preventing so-called lifting of small photoresist structures in the
(developing) pattern. In order to ensure the development of the image, it is best covered and placed
over a hot plate and let it dry while stabilizing the temperature at 120°C.[3]
If organic or inorganic contaminations are present on the wafer surface, they are usually removed
by wet chemical treatment, e.g. theRCA clean procedure based on solutions containing hydrogen
peroxide. Other solutions can also be used to clean.[2]
The wafer is covered with photoresist by spin coating. A viscous, liquid solution of photoresist is
dispensed onto the wafer, and the wafer is spun rapidly to produce a uniformly thick layer. The spin
coating typically runs at 1200 to 4800 rpm for 30 to 60 seconds, and produces a layer between 0.5
and 2.5 micrometres thick. The spin coating process results in a uniform thin layer, usually with
uniformity of within 5 to 10 nanometres. This uniformity can be explained by detailed

© 2015. The authors - Published by Atlantis Press 849


fluid-mechanical modelling, which shows that the resist moves much faster at the top of the layer
than at the bottom, where viscous forces bind the resist to the wafer surface. Thus, the top layer of
resist is quickly ejected from the wafer's edge while the bottom layer still creeps slowly radially
along the wafer. In this way, any 'bump' or 'ridge' of resist is removed, leaving a very flat layer.
Final thickness is also determined by the evaporation of liquid solvents from the resist. For very
small, dense features (< 125 or so nm), lower resist thicknesses (< 0.5 micrometres) are needed to
overcome collapse effects at high aspect ratios; typical aspect ratios are < 4:1.
The photo resist-coated wafer is then prebaked to drive off excess photoresist solvent, typically at
90 to 100 °C for 30 to 60 seconds on a hotplate.

Exposure and Etching


After prebaking, the photoresist is exposed to a pattern of intense light. The exposure to light causes
a chemical change that allows some of the photoresist to be removed by a special solution, called
"developer" by analogy with photographic developer. Positive photoresist, the most common type,
becomes soluble in the developer when exposed; with negative photoresist, unexposed regions are
soluble in the developer.
A post-exposure bake (PEB) is performed before developing, typically to help reduce standing
wave phenomena caused by the destructive and constructive interference patterns of the incident
light. In deep ultraviolet lithography, chemically amplified resist (CAR) chemistry is used. This
process is much more sensitive to PEB time, temperature, and delay, as most of the "exposure"
reaction (creating acid, making the polymer soluble in the basic developer) actually occurs in the
PEB.[4]
The develop chemistry is delivered on a spinner, much like photoresist. Developers originally
often contained sodium hydroxide (NaOH). However, sodium is considered an extremely
undesirable contaminant in MOSFET fabrication because it degrades the insulating properties of
gate oxides (specifically, sodium ions can migrate in and out of the gate, changing the threshold
voltage of the transistor and making it harder or easier to turn the transistor on over time).
In semiconductor fabrication, dry etching techniques are generally used, as they can be made
anisotropic, in order to avoid significant undercutting of the photoresist pattern. This is essential
when the width of the features to be defined is similar to or less than the thickness of the material
being etched (i.e. when the aspect ratio approaches unity). Wet etch processes are generally
isotropic in nature, which is often indispensable for microelectromechanical systems, where
suspended structures must be "released" from the underlying layer.
The development of low-defectivity anisotropic dry-etch process has enabled the ever-smaller
features defined photolithographically in the resist to be transferred to the substrate material.
After a photoresist is no longer needed, it must be removed from the substrate. This usually
requires a liquid "resist stripper", which chemically alters the resist so that it no longer adheres to
the substrate. Alternatively, photoresist may be removed by a plasma containing oxygen, which
oxidizes it. This process is called ashing, and resembles dry etching. 1-Methyl-2-pyrrolidon (NMP)
solvent is another method used to remove an image. NMP is soluble with photoresist and has a high
boiling point, thus when the resist has dissolved off the solution and wafer can be heated up to 80°C
without leaving any residue.[5]
Photolithography has been defeating predictions of its demise for many years. For instance, by
the early 1980s, many in the semiconductor industry had come to believe that features smaller than
1 micrometer could not be printed optically. Modern techniques using excimer laser lithography
already print features with dimensions a fraction of the wavelength of light used – an amazing
optical feat. New tricks such as immersion lithography, dual-tone resist and multiple patterning
continue to improve the resolution of 193 nm lithography. Meanwhile, current research is exploring
alternatives to conventional UV, such as electron beam lithography, X-ray lithography, extreme
ultraviolet lithography and ion projection lithography.

850
Photoresist
A photoresist is a light-sensitive material used in several industrial processes, such as
photolithography and photoengraving to form a patterned coating on a surface.
The photoresist main types are: a positive tone resist and a negative tone resist.

Fig.1 positive and negative resist


Comparison between a positive tone resist and a negative tone resist. Photoresists are classified
into two groups: positive resists and negative resists.
A positive resist is a type of photoresist in which the portion of the photoresist that is exposed to
light becomes soluble to the photoresist developer. The portion of the photoresist that is unexposed
remains insoluble to the photoresist developer.
A negative resist is a type of photoresist in which the portion of the photoresist that is exposed to
light becomes insoluble to the photoresist developer. The unexposed portion of the photoresist is
dissolved by the photoresist developer.
Table 1 Differences between tone types[6]

Characteristic Positive Negative

Adhesion to Silicon Fair Excellent

Less
Relative Cost More Expensive
Expensive

Developer Base Aqueous Organic

Minimum Feature 0.5 μm and below 2 μm

Step Coverage Better Lower

Wet Chemical Resistance Fair Excellent

Note: This table is based on the MEMS fabrication industry.

851
Applications
Negative photoresist.Contrary to past types, current negative photoresists tend to exhibit better
adhesion to various substrates such as Si, GaAs, InP and glass, as well as metals, including Au, Cu
and Al, compared to positive-tone photoresists. Additionally, the current generation of G, H and
I-line negative-tone photoresists exhibit higher temperature resistance over positive resists.
One very common negative photoresist is based on epoxy-based polymer. The common product
name is SU-8 photoresist, and it was originally invented by IBM, but is now sold by Microchem
and Gersteltec. One unique property of SU-8 is that it is very difficult to strip. As such, it is often
used in applications where a permanent resist pattern (one that is not strippable, and can even be
used in harsh temperature and pressure environments) is needed for a device.
DUVphotoresist.Deep ultraviolet (DUV) resists are typically polyhydroxystyrene-based
polymers with a photoacid generator providing the solubility change. However, this material does
not experience the diazocoupling. The combined benzene-chromophore and DNQ-novolac
absorption mechanisms lead to stronger absorption by DNQ-novolac photoresists in the DUV,
requiring a much larger amount of light for sufficient exposure. The strong DUV absorption results
in diminished photoresist sensitivity.
Fabrication of printed circuit boards.This can be done by applying photoresist, exposing to the
image, and then etching using iron chloride, cupric chloride or an alkaline ammonia etching
solution to remove the copperclad substrate.
Microelectronics. This application, mainly applied to silicon wafers/silicon integrated circuits is
the most developed of the technologies and the most specialized in the field.
Patterning and etching of substrates. This includes specialty photonics materials, MEMS, glass
printed circuit boards, and other micropatterning tasks. Photoresist tends not to be etched by
solutions with a pH greater than 3.[7]

Photomask

Fig.2 schematic illustration of a photomask

852
Fig.3 an integrated circuit created using that mask
A photomask is an opaque plate with holes or transparencies that allow light to shine through in a
defined pattern. They are commonly used in photolithography.
Lithographic photomasks are typically transparent fused silica blanks covered with a pattern
defined with a chrome metal-absorbing film. Photomasks are used at wavelengths of 365 nm, 248
nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm,
13.5 nm (EUV), X-ray, electrons, and ions; but these require entirely new materials for the substrate
and the pattern film.
A set of photomasks, each defining a pattern layer in integrated circuit fabrication, is fed into a
photolithography stepperor scanner, and individually selected for exposure. In double patterning
techniques, a photomask would correspond to a subset of the layer pattern.
In photolithography for the mass production of integrated circuit devices, the more correct term is
usually photoreticle or simply reticle. In the case of a photomask, there is a one-to-one
correspondence between the mask pattern and the wafer pattern. This was the standard for the 1:1
mask aligners that were succeeded by steppers and scanners with reduction optics. As used in
steppers and scanners, the reticle commonly contains only one layer of the chip. (However, some
photolithography fabrications utilize reticles with more than one layer patterned onto the same
mask). The pattern is projected and shrunk by four or five times onto the wafer surface.[8] To
achieve complete wafer coverage, the wafer is repeatedly "stepped" from position to position under
the optical column until full exposure is achieved.
Features 150 nm or below in size generally require phase-shifting to enhance the image quality to
acceptable values. This can be achieved in many ways. The two most common methods are to use
an attenuated phase-shifting background film on the mask to increase the contrast of small intensity
peaks, or to etch the exposed quartz so that the edge between the etched and unetched areas can be
used to image nearly zero intensity. In the second case, unwanted edges would need to be trimmed
out with another exposure. The former method is attenuated phase-shifting, and is often considered
a weak enhancement, requiring special illumination for the most enhancement, while the latter
method is known as alternating-aperture phase-shifting, and is the most popular strong
enhancement technique.
As leading-edge semiconductor features shrink, photomask features that are 4× larger must
inevitably shrink as well. This could pose challenges since the absorber film will need to become
thinner, and hence less opaque.[9] A recent study by IMEChas found that thinner absorbers degrade
image contrast and therefore contribute to line-edge roughness, using state-of-the-art
photolithography tools. One possibility is to eliminate absorbers altogether and use "chromeless"
masks, relying solely on phase-shifting for imaging.
The emergence of immersion lithography has a strong impact on photomask requirements. The
commonly used attenuated phase-shifting mask is more sensitive to the higher incidence angles
applied in "hyper-NA" lithography, due to the longer optical path through the patterned film.

853
Photolithography Machine
Photolithography machine is the bibcock of microelectronic equipment, The technical difficulty of
the highest, and The biggest single equipment cost very high, The integrated density,so, The
Lithography machine is the leading source of photolithography, We say ,Lithography just
Transfer the pattern of circuitry from a mask onto a wafer.

Fig.4 The overall structure of photoetching machine

Fig.5 Step and Scan System


we know, The exposure wavelength
Mercury lamp:g-Line (453 nm)、h-Line (405 nm)、i-Line (365 nm)
Excimer laser:KrF (248 nm)、ArF (193 nm)、F2 (157 nm)
Extreme ultraviolet light source:EUV (13 nm)
The most important light types include UV, DUV (Deep UV), and the g and I lines having
wavelength of 436 nm and 365 nm respectively of a mercury-vapor lamp.
This particular parameter is closely related to the thickness of the applied photoresist, with
thinner layers corresponding to shorter wavelengths, permitting a reduced aspect ratio and a reduced
minimum feature size. This is important in microelectronics and especially the ITRS reduction in
minimum feature size. Intel has semiconductor fabrication facilities currently operating at the 22
nanometer node.

854
Table 2 The development of lithography tools
The development of lithography machine
Generation The process time feature
dimension
The first 1500nm 1978 G line
photoetching machine
The second 800nm 1988 g/i line
photoetching machine
The third 500nm 1991
The fourth 350nm 1995
The Fifth 250nm 1997 KrF
Photoetching
machine
The sixth 180nm 1999
The seventh 130nm 2001 ArF
Photoetching
machine
The eighth 90nm 2005
The ninth 65nm 2007 ArF immersion
The tenth 45nm 2010
The eleventh 32nm 2013 EUV
The twelfth 22nm 2014
The thirteenth 14nm 2015?
14nm process is likely to be a ridge, 193nm immersion lithography technology used by the plus
two times patterning technology has achieved mass production of the 20nm technology, but if not
improved source reducing wavelength and uses three times more complex graphics to achieve
14nm process exposure technique, not only will greatly increase the exposure times and cost,
physical limits may also reach the device and cause failure . Fabrication of feature sizes of 10 nm
has been demonstrated in production environments, but not yet at rates needed for
commercialization. However, this is expected by 2016.
At present, most new CPU lithography is the Holland ASML TWINSCAN NXE:3300B. Is
currently the highest level of the industry. The system has done a single exposure to 13nm, and has
the ability to reach 9nm,single digit nanometer era laid the foundation for semiconductor process
into. [10]

References
[1] Jaeger, Richard C. (2002). Introduction to Microelectronic Fabrication(2nd ed.). Upper Saddle
River: Prentice Hall.ISBN0-201-44494-1.
[2] "Semiconductor Lithography (Photolithography) - The Basic Process".
[3] Zhao, X-A; Kolawa, E; Nicolet, M-A (1986). "Reactions of thin metal films with crystalline and
amorphous Al2O3".California Institue of Technology.
[4] Nalamasu, Omkaram, et al."An Overview of Resist Processing for DUV Photolithography".
[5] http://Wikipedia.org."AN-Methyl-2-Pyrrolidone".
[6] Madou, Marc (2002).Fundamentals of Microfabrication. Boca Raton, Florida: CRC Press.
p.9.ISBN 0-8493-0826-7.
[7] Greener, Jesse; Li, Wei; Ren, Judy; Voicu, Dan; Pakharenko, Viktoriya; Tang, Tian;
Kumacheva, Eugenia (2010). "Rapid, cost-efficient fabrication of microfluidic reactors in
thermoplastic polymers by combining photolithography and hot embossing".Lab on a Chip10(4):

855
522–4.doi:10.1039/b918834g.PMID20126695.
[8] Lithography experts back higher magnification in photomasks to ease challenges// EETimes
2000
[9] Y. Sato et al.,Proc. SPIE, vol. 4889, pp. 50-58 (2002).
[10] http://www.eetimes.com/electronics-news/ASML

856

You might also like