Analog Layout Design Book
Analog Layout Design Book
THIS DOCUMENT INTRODUCES AN OVERVIEW METHODOLOGY FOR ANALOG LAYOUT DESIGN, IT GIVES VISUAL REPRESENTATION OF
SEMICONDUCTOR DEVICES AND INTEGRATED CIRCUITS
SHUBHAM KUMAR
2nd Edition, Date: 1/JULY/2023
Contents
1. Introduction to Analog Layout Design........................................................................................................ 3
Shubham Kumar
[email protected]
1
9. Device Matching Effects
➢ Length of diffusion (LOD effect) ………………………………….. ...… ................................... ..….…...113
➢ Well proximity……………………………………………………… ................................................…….……..116
➢ STI ………………………………………………………..…… ................................................………………….…..117
10. Guardring…………………………………………………….................................................................………….…….…119
11. General Layout Guidelines……………………………………… ................................................ ……………….…...123
12. Routing Importance
➢ Signal Routing matching………………………………… ..................................................………………...125
➢ Physical design routing process……………………..… ...............................................…….……….….131
➢ Noise and Shielding………………………………………….. ..............................................………….…..…134
➢ Buffer …………………………………………………………..…… .............................................……….……..…140
➢ Double patterning (metal coloring) ...………………… .. .............................................……….……..143
Shubham Kumar
[email protected]
2
1. Introduction to Analog layout design
Analog layout design is a crucial aspect of integrated circuit (IC) design that focuses on
creating the physical layout of analog circuits on a semiconductor wafer. Analog circuits
are responsible for processing continuous signals and are widely used in various
applications, including audio amplifiers, power management, data converters, and sensor
interfaces.
Here are some key points to understand about analog layout design:
- Signal Integrity: Layout techniques should minimize parasitic effects, such as noise,
crosstalk, and electromagnetic interference, to maintain signal integrity and reduce
unwanted signal degradation.
- Matching and Symmetry: Analog circuits often require precise matching of devices to
achieve desired performance. Layout techniques are employed to ensure accurate
matching and symmetry between components.
Shubham Kumar
[email protected]
3
refers to the process of assigning specific areas on the semiconductor wafer for different
circuit blocks, considering factors such as signal flow, device density, and noise isolation.
3. Component Placement: Once the floorplan is defined, the next step is component
placement. Analog layout designers carefully position transistors, resistors, capacitors,
and other components to optimize electrical performance, minimize parasitics, and
achieve desired matching.
4. Routing: Analog layout design includes routing the interconnects between circuit
components. Routing must consider factors like impedance control, signal integrity, noise
reduction, and avoiding cross-coupling effects. Special attention is given to critical nets
and sensitive nodes to ensure proper functionality.
5. Design Rule Checks (DRC): DRC is a crucial step in analog layout design. Design rule
checking tools analyze the layout against predefined manufacturing rules to identify
potential issues and violations. DRC ensures that the layout adheres to fabrication process
requirements, mask limitations, spacing rules, and other specifications.
Successful analog layout design requires a deep understanding of circuit behavior, layout
techniques, manufacturing processes, and design constraints. It combines creativity,
engineering principles, and attention to detail to ensure the final layout meets
performance specifications while maximizing yield and reliability.
Shubham Kumar
[email protected]
4
2. Basic Linux Command
• pwd: Prints the current working directory, displaying the path of the current
directory: e.g. if you are at your home directory then it will print something like
/home/<username>
• ls: list directory: This command will list the items of a directory. If you don’t specify
a directory then it will list work directory, the place where you currently are.
• Ls -a: will show the hidden files
• ls -al: will list the files and directories with detailed information like the
permissions, size, owner, etc
• cd: changes the current directory. It will change the work directly as specified.
• cd..: change directory one level up.
• cp: Copy Command. It will copy a file or directory. It is similar to Copy-Paste in GUI.
Ex: cp (file name) (location), cp file.txt new_file.txt
• mv :Move or rename files and directory. It is like renaming a file or cut-paste in GUI.
• rm,rm -r, rm -rf : remove file or directory. ex: rm file.txt
• rmdir: remove empty directory. This will not remove content of the directory but it
will delete a directory if it is empty.
• mkdir: Creates a new directory. For example, mkdir myfolder will create a
directory named "myfolder" in the current directory.
• chmod: It is used to change file permission. chmod stands for change mode in
Linux., ex: chmod 775 (file name), chmod775 file.txt
basic permission of a file can have : r(read), w(write), x(execute)
• clear: clear Screen: Use clear command to clear the terminal screen.
• grep: Searches for a specific pattern in files. For example, grep "hello" myfile.txt
will search for the word "hello" in "myfile.txt".
• zip: The command “zip” is used to compress one or more files and store them in a
new file with .zip extension.
• unzip: The command “unzip” is used to decompress a .zip file and extract all the
files within to current directory.
Shubham Kumar
[email protected]
5
• history: The simple command “history” displays the list of all commands entered
since the user started the session.
• evince and acroread: This command is for pdf file open.
• du –sh: check the file size.
• touch : The touch command allows to update the access and modification times of
the specified files
• find: used to search for files in a directory or a hierarchy based.
Ex: find [flags] [path] –name [expression]
• ping: used for network connectivity test, ex: ping google.com, ping 8.8.8.8
• whoami: used to display the username currently in use
• passwd: used to change the password of user account
• kill: for terminate the tool, ex: kill 532452, kill firefox
• exit: exit from terminal.
• shutdown: To power off your computer immediately.
Shubham Kumar
[email protected]
6
3. IC Fabrication
What is IC?
Integrated circuits (IC) sometimes called a chip or microchip is a semiconductor
wafer on which thousands or millions or trillions of tiny resistors, capacitors and
transistors are fabricated.
ICs are widely used in various electronic devices, ranging from smartphones and
computers to automotive systems and medical devices. They play a crucial role in
modern technology by providing compact and highly integrated solutions for
complex electronic functions.
1. Digital Integrated Circuits: These ICs are designed to process digital signals
and perform logic operations. They are the building blocks of digital systems
and can be found in microprocessors, memory chips, and digital signal
processors.
2. Analog Integrated Circuits: Analog ICs are designed to process continuous
signals, such as audio and video signals. They are used in applications like
amplifiers, filters, and power management circuits.
3. Mixed-Signal Integrated Circuits: These ICs combine both analog and digital
circuitry on the same chip. They are commonly used in systems that require
both analog signal processing and digital control, such as data converters,
sensor interfaces, and communication systems.
Shubham Kumar
[email protected]
7
Moore’s law
In the year 1965 Gordon Moore Intel Co-Founder and Chairman predicted that
transistors would continue to shrink, allowing
• Doubled transistors density every 18-24 month
• Doubled performance every 18-24 month
• The period often quoted as 18 month
Wafer Production
• The Wafer is round slice of semiconductor material such as silicon. Silicon is
preferred due to its characteristics; it is more suitable for manufacturing IC.
• It is base or substrate to entire chip
• First purified polycrystalline silicon is created from the sand. Than it is
heated to produce molten liquid.
• A small piece of solid silicon is dipped on the molten liquid.
• Then the solid silicon is dipped on the molten liquid.
• A thin round wafer of silicon is cut using wafer slicer. Wafer slicer is a
precise cutting machine and each slice having thickness about 0.01 to 0.025
inches.
Shubham Kumar
[email protected]
8
• When wafer is sliced, the surface will be damaged. It can be smoothening by
polishing. After polishing the wafer. It must thoroughly clean and dried.
• The wafers are cleaned using high purity low particle chemicals. The silicon
wafers are exposed to ultra pure oxygen
Starting substrates are cut and polished from huge single crystals of silicon. Not
done by the foundries.
Shubham Kumar
[email protected]
9
Shubham Kumar
[email protected]
10
Epitaxial growth
• It means the growing of single silicon crystal upon original silicon substrate.
• A uniform layer of silicon dioxide is formed on the surface of wafer.
Shubham Kumar
[email protected]
11
Photolithography
• Photolithography is a technique that is used to define the shape of micro-
machined structured on the wafer.
Shubham Kumar
[email protected]
12
Masking
• To protect some area of wafer when working on another area, a process called
photolithography is used.
• The process of photolithography includes masking with a photographic mask
and photo etching.
• A photoresist film is applied on the wafer.
• The wafer is aligned to a mask using photo aligner.
• Then it is exposed to ultraviolet light through mask.
• Before that the wafer must be aligned with the mask.
Shubham Kumar
[email protected]
13
Etching
Doping
• To alter the electrical character of silicon, atom with one less electron than
silicon such as boron and atom with one electron greater then silicon such as
phosphorous are introduced into the area.
• The P-type(boron) and N-type (phosphorous) are created to reflect their
conducting characteristics.
Shubham Kumar
[email protected]
14
Atomic diffusion
• Diffusion is defined as the movement of impurity atom is semiconductor
material at high temperature.
• Then the wafer are heated at a temperature of about 1500-2200F. The inert gas
carries the dopant chemical. The dopant will get deposited on the wafer.
• This method can only be used for large areas. For small areas it will be difficult
and it may not be accurate.
Ion implantation
Shubham Kumar
[email protected]
15
• The ions can alter the elemental composition of the target (if the ions differ in
composition from the target) if they stop and remain in the target.
• Ion implantation also causes chemical and physical changes when the ions
impinge on the target at high energy.
Shubham Kumar
[email protected]
16
Metallization
• It is used to create contact with silicon and to make interconnections on
chip.
• A thin layer of aluminum is deposited over the whole wafer.
• Aluminum is selected because it is a good conductor, has good mechanical
bond with silicon, forms low resistance contact and it can be applied and
patterned with single deposition and etching process.
• It must be patterned and etched to form the actual wires connecting
individual device into a circuit.
Shubham Kumar
[email protected]
17
Wafer and chips:
Shubham Kumar
[email protected]
18
Shubham Kumar
[email protected]
19
Shubham Kumar
[email protected]
20
Video link:
1.https://youtu.be/c9arR8T0Qts
2. https://youtu.be/fwNkg1fsqBY
3.https://www.computerhistory.org/revolution/digital-
logic/12/288/2220
Shubham Kumar
[email protected]
21
4. mosfet
2. Gate: The gate is a terminal that controls the flow of current through the
MOSFET. It is separated from the channel by a thin insulating layer, usually made
of silicon dioxide (SiO2). The gate terminal is typically made of a conductive
material, such as doped polycrystalline silicon (polysilicon) or metal.
3. Source: The source is one of the two terminals through which current can flow
in the MOSFET. It is typically connected to the region of the substrate where
majority charge carriers (either electrons or holes, depending on the type of
MOSFET) are abundant.
4. Drain: The drain is the other terminal through which current can flow in the
MOSFET. It is typically connected to the region of the substrate where majority
charge carriers are depleted.
Shubham Kumar
[email protected]
22
Shubham Kumar
[email protected]
23
N-MOSFET Fabrication
Shubham Kumar
[email protected]
24
Shubham Kumar
[email protected]
25
Shubham Kumar
[email protected]
26
Shubham Kumar
[email protected]
27
Shubham Kumar
[email protected]
28
Video link :https://youtu.be/1Lad28K3Xi0
Shubham Kumar
[email protected]
29
MOSFET Principle
The main principle of the MOSFET device is to be able to control the voltage and
current flow between the source and drain terminals. It works almost like a switch
and the functionality of the device is based on the MOS capacitor. The MOS
capacitor is the main part of MOSFET.
NMOSFET: In the case of an N-type switch, the body or back gate terminal is
connected to the most negative supply (usually GND in single power supply
systems) and the gate is used as the switch control.
Whenever the gate voltage exceeds the source voltage by at least a threshold
voltage, the MOSFET conducts.
Shubham Kumar
[email protected]
30
5. Layout Introduction
Shubham Kumar
[email protected]
31
NWELL:
The N-well layer is created within a p-type substrate by introducing n-type dopants, such
as phosphorus or arsenic, through a process called doping. This results in a localized
region with a higher concentration of electrons, creating an n-type region within the p-
type substrate.
The purpose of the N-well layer is to form the body region for pMOSFETs (p-channel
Metal-Oxide-Semiconductor Field-Effect Transistors) in a CMOS process. In a CMOS
technology, both pMOSFETs and nMOSFETs (n-channel Metal-Oxide-Semiconductor Field-
Effect Transistors) are integrated onto the same chip to achieve complementary
functionality.
Shubham Kumar
[email protected]
32
DNWell layer (It is use to isolate P-well):
Generally, DeepNwell is used to isolate NMOS from the substrate of other NMOS.
Mostly this layer is used to substrate noise coupling injected by Digital Logic in Mixed
Signal environment through bulk or substrate.
Shubham Kumar
[email protected]
33
Video link :https://youtu.be/fpKx4hj8MYM
Shubham Kumar
[email protected]
34
Device Fingers: Simply it is a multiplier or parallel connected
device. If 4 parallel connected device is there so we can say the device
have 4fingers.
Shubham Kumar
[email protected]
35
❖ Why should increase fingers and reduction of width is
good?
Rgate=Lgate .Rsq,poly
Shubham Kumar
[email protected]
36
Metal Routing:
Metal routing, also known as metal interconnect or routing, is a key step in the
design and fabrication of integrated circuits. It involves creating metal wires or
paths on the surface or within the layers of the chip to connect different
components. The process includes planning, designing, and optimizing the
paths to ensure proper signal transmission, power efficiency, and
manufacturability. The metal interconnects are formed by depositing and
patterning multiple metal layers separated by insulating dielectric layers. Via
structures are used to establish connections between layers. The routing
process is guided by design rules and considerations for signal integrity and
timing. Once completed, the metal routing enables the desired circuit
functionality and is crucial for the proper functioning of the integrated circuit.
Shubham Kumar
[email protected]
37
Fig: 3D view of VIA and Metal connection
Shubham Kumar
[email protected]
38
Fig: Cross-section of a 0.12µm/120nm technology (Courtesy Fujitsu)
Shubham Kumar
[email protected]
39
• Metal ratio: It is defined with respect to first metal (metal1)
Assume that metal1 height is 1um and metal2 is 2X so metal2 height is 2um.
Metal1 height is very with respect to technology.
Shubham Kumar
[email protected]
40
MOSFET layeres in layout
Shubham Kumar
[email protected]
41
Shubham Kumar
[email protected]
42
Fig: Layout view of an Inverter /Not Gate
Shubham Kumar
[email protected]
43
Effective channel length:
Shubham Kumar
[email protected]
44
6. Device available in layout
Shubham Kumar
[email protected]
45
• Poly Resistor:
Layout sample of a poly resistor
Shubham Kumar
[email protected]
46
• Metal Resistor:
Shubham Kumar
[email protected]
47
Capacitor:
• MOS capacitors:
1. Transistors can be used as a cap using the thin oxide layer from the gate
as an insulator. The Gate acts as the top plate and the Drain and Source
as the bottom plate
2. The drawback is that if the voltage at the gate varies, the depletion area
changes at the gate varying the dielectric properties and modifying the
capacitance. So, the MOS capacitor’s value is very dependent on the
applied DC voltage.
3. The capacitance per unit area is higher than the MIM caps, because the
insulator of the gate (SiO2) is much thinner than the insulator between
the metal layers. But the variation with the voltage is a big
disadvantage.
4. They are useful for local supply decoupling where the DC voltage is
constant. Another drawback is the parasitic resistance of the bottom
plate.
Shubham Kumar
[email protected]
48
NMOSCAP:
PMOSCAP:
3d view link:
1. N-type device cap: https://skfb.ly/oGTII
2. P-type device cap: https://skfb.ly/oGTJy
Shubham Kumar
[email protected]
49
• MIM capacitors:
1. It is formed by two parallel metal layers and has a high k-dielectric
between them. The bottom layer forms a small parasitic cap with the
substrate.
2. This type is the most used, because of the high capacitance per unit
area with the lowest parasitic. The drawback is that they require more
process steps during the fabrication. Mainly, a new mask and step are
added to deposit the insulator between the metal layers. Therefore, a
fabrication process with MIM caps is more expensive than others using
only MOM-caps.
3. They can be single, double, triple, etc. It depends on the number of
layers of metal and insulators. The thickness of the insulator layer is in
the range of 25 nm (depending on the fabrication technology).
4. The quality factor is very high because both plates are made out of
metal and the voltage dependency is negligible
Shubham Kumar
[email protected]
50
Fig: CTM and CBM is the layer of mim cap
Shubham Kumar
[email protected]
51
Fig: MIM Capacitor
Shubham Kumar
[email protected]
52
• MOM capacitors:
Shubham Kumar
[email protected]
53
Shubham Kumar
[email protected]
54
Shubham Kumar
[email protected]
55
Fig:-(a) MIM capacitor. (b) MOM capacitor: interdigitated parallel wires. (c) MOM capacitor: woven.
(d) MOM capacitor: parallel stacked wires. (e) MOM capacitor: vertical bars.
(f) MOM capacitor: multi-layer sandwich.
Shubham Kumar
[email protected]
56
• Poly-Poly capacitors:
Shubham Kumar
[email protected]
57
• Poly-diffusion capacitors:
Shubham Kumar
[email protected]
58
Inductor: Structure of spiral inductor
Shubham Kumar
[email protected]
59
Shubham Kumar
[email protected]
60
Diode:
Layout view:
Shubham Kumar
[email protected]
61
Shubham Kumar
[email protected]
62
Transistor / BJT :
Shubham Kumar
[email protected]
63
Video link of BJT : 1. https://youtu.be/7ukDKVHnac4
2. https://youtu.be/zFLmuZa4tDE
Shubham Kumar
[email protected]
64
MOSFET:
Shubham Kumar
[email protected]
65
Planer MOSFET:
The metal–oxide–semiconductor field-effect transistor (MOSFET, MOS-FET, or MOS
FET), also known as the metal–oxide–silicon transistor (MOS transistor, or MOS), is a
type of insulated-gate field-effect transistor that is fabricated by the controlled
oxidation of a semiconductor, typically silicon. The voltage of the covered
gate determines the electrical conductivity of the device; this ability to change
conductivity with the amount of applied voltage can be used for amplifying or
switching electronic signals.
Shubham Kumar
[email protected]
66
3D view of MOSFET link: https://skfb.ly/oGOKI
Shubham Kumar
[email protected]
67
Finfet:
A fin field-effect transistor (FinFET) is a multigate device, a MOSFET (metal-oxide-
semiconductor field-effect transistor) built on a substrate where the gate is placed on
two, three, or four sides of the channel or wrapped around the channel, forming a
double gate structure. These devices have been given the generic name "finfets"
because the source/drain region forms fins on the silicon surface. The FinFET devices
have significantly faster switching times and higher current density than
planar CMOS (complementary metal-oxide-semiconductor) technology.
Shubham Kumar
[email protected]
68
Silicon nitride (Si3N4) :-Silicon nitride is a chemical compound of the
elements silicon and nitrogen. Si3N4 is the most thermodynamically stable of the silicon nitrides.
Hence, Si3N4 is the most commercially important of the silicon nitrideswhen referring to the term
"silicon nitride". It is a white, high-melting-point solid that is relatively chemically inert, being
attacked by dilute HF and hot H2SO4. It is very hard (8.5 on the mohs scale). It has a high thermal
stability.
Shubham Kumar
[email protected]
69
Silicon dioxide(sio2, also known as silica) :- Silicon dioxide is an oxide of silicon with
the chemical formula SiO2, most commonly found in nature as quartz and in various living
organisms. In many parts of the world, silica is the major constituent of sand. Silica is one of the
most complex and most abundant families of materials, existing as a compound of several
minerals and as synthetic product. Notable examples include fused quartz, fumed silica, silica gel
and aerogels. It is used in structural materials, microelectronics (as an electrical insulator), and
as components in the food and pharmaceutical industries.
Layers of Fin:
Shubham Kumar
[email protected]
70
3D view of finfet:
Shubham Kumar
[email protected]
71
Current flow in planer and finfet:
Shubham Kumar
[email protected]
72
Fig: image of FinFET
Shubham Kumar
[email protected]
73
FDSOI:
In semiconductor manufacturing, silicon on insulator (SOI) technology is fabrication
of silicon semiconductor devices in a layered silicon–insulator–silicon substrate, to
reduce parasitic capacitance within the device, thereby improving performance. SOI-
based devices differ from conventional silicon-built devices in that the silicon junction
is above an electrical insulator, typically silicon dioxide or sapphire (these types of
devices are called silicon on sapphire, or SOS). The choice of insulator depends largely
on intended application, with sapphire being used for high-performance radio
frequency (RF) and radiation-sensitive applications, and silicon dioxide for
diminished short-channel effects in other microelectronics devices. The insulating
layer and topmost silicon layer also vary widely with application.
Shubham Kumar
[email protected]
74
ELTRAN (Epitaxial Layer TRANsfer)
Shubham Kumar
[email protected]
75
Shubham Kumar
[email protected]
76
LVT FDSOI
RVT FDSOI
Shubham Kumar
[email protected]
77
Advantage of FD-Soi:
• Better Electrostatics
➢ Faster Operation/ Higher clock speed for mobile
chips
➢ Low Voltage/ only 0.6V power consumption in
mobile device
➢ Less power consumption in mobile device
➢ Lower production cost
• Total dielectric isolation
➢ Lower S/D leakage
➢ Lower S/D Capacitance
➢ Latch-up immunity
• Lower leakage current
➢ Less sensitive to temperature
• No channel doping
➢ Improved Vt Variability
➢ Improved mismatch (SRAM & analog)
➢ Better analog gain
• Less Self heating effect
Shubham Kumar
[email protected]
78
GAAFET:
A Gate-All-Around Field Effect Transistor (GAAFET) technology is similar
in function to a FinFET transistor but the gate material surrounds the
channel from all sides. Generally, based on design, GAAFETs can have two
or four gates. Gate-All-Around Field Effect Transistor (GAAFET) technology
is believed to be the successor to FinFETs, as it provides better device
performance at smaller sizes such as below 7 nm. Nanowire and nanosheet
structures are used for the fabrication of GAA transistors. The alignment of
the GAAFET structures can be parallel or perpendicular to the substrate
depending on the implementation.
Shubham Kumar
[email protected]
79
Types of GAAFET:
1. nanowire (NW) FETs:
• H-GAAFET
• V-GAAFET
Shubham Kumar
[email protected]
80
• nanosheet (NS) FETs: MBCFET: Multi-Bridge Channel FET
MBCFET: https://skfb.ly/oIPSz
Shubham Kumar
[email protected]
81
Ribbon FET and PowerVia:
Ribbon-shaped channels are surrounded by the gate to provide better control and
higher drive current at all voltages. A stack of "nano-ribbons" provide the same drive
current with a smaller footprint than multiple fins. RibbonFET was announced along
with a new chip architecture
Shubham Kumar
[email protected]
82
Fig: PowerVia
1.Planer : https://youtu.be/stM8dgcY1CA
2. FinFet: https://youtu.be/TXxw1kdF5_Q
3. FD-Soi: https://youtu.be/uvV7jcpQ7UY
4. FD-Soi: https://youtu.be/qLIwGXhEcl4
5. GAAFET: https://youtu.be/3otqUu-7WUQ
Shubham Kumar
[email protected]
83
7. Logic cells
INVERTER
• The simplest of the logic gates is the Inverter. It is an essential part of digital
design and understanding the operation and properties of an Inverter will make
it significantly easier to study NAND Gates, Adders, Multiplexers and even
Microprocessors.
• Following is the circuit of a CMOS Inverter Gate along with its symbols.
• CMOS Inverter is also known as the NOT Gate. From the above circuit, you can
see that a CMOS Inverter consists of an N-channel MOSFET (NMOS) and a P-
channel MOSFET (PMOS).
• When the input A is LOW i.e. Logic 0, the NMOS Transistor is OFF and the
PMOS Transistor is ON. The P-channel MOSFET provides a path for the VDD to
appear at the Output. Hence, the output is HIGH i.e. Logic 1.
• Similarly, when the input is HIGH, NMOS is ON and PMOS is OFF. The output
is connected to GND and the output is LOW.
Shubham Kumar
[email protected]
84
Layout view
Video link: https://youtu.be/oSrUsM0hoPs
3D view link: 1. Finfet inverter: https://skfb.ly/oGOLU
2 cmos inverter: https://skfb.ly/oGOLC
Shubham Kumar
[email protected]
85
All steps of how to create an inverter layout:
https://www.siue.edu/~gengel/ece484LabMaterial/con_pnmos.htm
Shubham Kumar
[email protected]
86
NAND
The following circuit shows a 2-input CMOS NAND Gate. As seen in the image, a 2-
input NAND gate consists of two N-channel MOSFETs connected in series between
output and GND and two P-channel MOSFETs connect in parallel between VDD and
output.
When any of the inputs A or B is LOW, at least one of the NMOS Transistors will be
OFF. For the output to be LOW, both the inputs should be HIGH. For all the other
combinations of the inputs, the output will be HIGH.
Shubham Kumar
[email protected]
87
Layout view
Shubham Kumar
[email protected]
88
NOR
Circuit of a 2-input CMOS NOR Gate is shown below. It consists of two P-channel
MOSFETs connected in series between VDD and Output and two N-channel
MOSFETs connected in parallel between Output and GND.
When either of the inputs A or B is HIGH, the output is LOW as at least one NMOS
Transistor is ON. For the output to be HIGH, both the inputs must be LOW.
Shubham Kumar
[email protected]
89
Layout view
Shubham Kumar
[email protected]
90
8.Device matching
• Actually, due to process variation in fabricating the transistors (i.e like non
uniform doping, oxide thickness, etching etc.), no 2 transistors have exactly
the same electrical properties. By applying techniques such
as Interdigitzer & Common centroid, it is possible to match transistors
reasonably.
2.
In Analog circuits, we use specific designs like differential pairs and current
mirrors. These designs require us to pay attention to the characteristics of the
devices, especially the threshold voltage (Vt). Even a small difference of a few
millivolts can affect the performance and success (yield) of the design. The
threshold voltage is influenced by factors such as the number of doping atoms in
the channel and the thickness of the gate oxide. To ensure everything works
well, we need to make sure our analog devices match each other. For that, we
need to match the analog device
Shubham Kumar
[email protected]
91
Circuits that required Matching
1. Current Mirror:
The simple current mirror can be obtained from the one transistor
current source by using a second transistor in diode connection that
generates the necessary gate-source voltage of the transistor in the
output stage. The gate source voltage is set by the diode geometry and
the injected input or reference current. Since the gates and the sources
of the two transistors are connected together the gate-source voltage of
the current source will be equal to the gate source voltage of the diode.
The schematic of the circuit is given in below Figure.
Shubham Kumar
[email protected]
92
• Earlier the constant current source was use resistor than it replace by
a mosfet with a constant gate voltage than it replace by a diode
connected mosfet/device.
• It is 2 terminal devices.
• The current source will force current to the diode connected MOSFET
NM0 which intern develop voltage VGS across gate of NM0. This VGS is
given to the gate of Mosfet NM1. If the W/L ratios are same then it will
the same current as current source. So, here we are able to mirror the
current from Mosfet NM0 to mosfet NM1.
Shubham Kumar
[email protected]
93
Cascode MOS current mirror
The cascode current mirror is derived from the simple current mirror by
cascoding both branches of the circuit. The schematic of the resulting
structure is given in Figure.
NMOS and PMOS implementations of a cascode current mirror The bulk
terminal of the transistors is connected either to the lowest potential, or
to VDD for PMOS transistors. In Figure the substrate connections of the
transistors M3 and M4 facilitate the identification of VBS voltages when
calculating the input and the output resistances.
Shubham Kumar
[email protected]
94
2. Differential Pair (input Pair):
a. Differential amplifiers apply gain not to one input signal but to
the difference between two input signals. This means that a
differential amplifier naturally eliminates noise or interference that
is present in both input signals
b. Differential amplification also suppresses common-mode signals-in
other words, a DC offset that is present in both input signals will be
removed, and the gain will be applied only to the signal of interest
(assuming, of course, that the signal of interest is not present in both
inputs). This is particularly advantageous in the context of IC
design because it eliminates the need for bulky DC-blocking
capacitors.
c. The subtraction that occurs in a differential pair makes it easy to
incorporate the circuit into a negative-feedback amplifier
Thus, from the above equation we can see that the mismatch in the current
depend upon
1. Mismatch in the (W/L) values of the transistors.
2. Mismatch in the threshold values of the transistors which increases as
the overdrive voltage ( VGS-Vt) is reduced.
Shubham Kumar
[email protected]
96
❖ Input offset voltage of a differential pair:
Thus, we see that the offset voltage depends upon two parameters:
Shubham Kumar
[email protected]
97
Layout Matching:
Shubham Kumar
[email protected]
98
• Etch Effect: Poly silicon does not always etch uniformly.
➢ Large openings etch faster than small openings in mask.
➢ Solution is to use dummy structures.
Shubham Kumar
[email protected]
99
• Stress Effects:
a. The fabrication under high temperatures may leave residual stresses
in chip.
b. Packaging can cause stress in chip.
Solution:
a. Keep critical matched devices in center of chip or on enterlines
b. Avoid using corners for matched devices
Shubham Kumar
[email protected]
100
• Device matching type:
1. Inter-Digitization Techniques
2. Common Centroid
• Inter-Digitization Techniques:
➢ We have to match two components A and B (A and B can be anything
likes Mosfet, transistor, resistor, and capacitor).
Let’s split A and B into 4 small components i.e. A1-A4 and B1-B4
➢ Inter-digitization technique: Placing alternate components.
Shubham Kumar
[email protected]
101
• Common Centroid Layouts:
Matching won’t be good!!!
Shubham Kumar
[email protected]
102
Common centroid technique: Placing components such that both components
have same centroid.
Shubham Kumar
[email protected]
103
FIG: Diff pair matching pattern
Shubham Kumar
[email protected]
104
• Two Example of common centroid technique
(W/L)M1 =2 (W/L)M2
(W/L)M3 = (W/L)M4
Shubham Kumar
[email protected]
105
• Averages process variations :
Shubham Kumar
[email protected]
106
• Rules for MOS transistor matching:
✓ Place transistors in close proximity.
✓ Orient transistors in the same direction.
✓ Keep the layout of the transistors as compact as possible
✓ Whenever possible use Common centroid layouts.
✓ Place transistors segments in the areas of low stress gradients.
✓ Place transistors well away from the power devices.
✓ For current matching keep overdrive voltage large.
✓ For voltage matching keep overdrive voltage smaller.
Shubham Kumar
[email protected]
107
DUMMY:
• Why Dummy Device is need:
✓ To minimize the etch effects during fabrication. If they are not placed
then it can so happen that one side is slightly more parameter (Vthe.g)
then the other transistor. Hence the matching will not be identical for all
the device. For a good matched layout dummy device must be used.
Generally dummy devices are added to resistors as well so that they
surround the complete resistor.
Shubham Kumar
[email protected]
108
The dummy pattern may be formed to reduce the production
tolerance.
Shubham Kumar
[email protected]
109
• Industrial Quality :
a. Includes multiple guardrings.
b. Full common centroid.
c. Dummy structures.
Shubham Kumar
[email protected]
110
Resistor matching:
Shubham Kumar
[email protected]
111
Video link: https://youtu.be/rJIApmbgFHI
Shubham Kumar
[email protected]
112
9. Device matching effects
In case of multiple finger the area of source and drain are not equal for
corner transistor.
Example – For below device
Transistor-3 : The source area is equal to drain area.
Transistor-1 : The source area is smaller than drain area.
Transistor-5 : The drain area is larger than source area.
Shubham Kumar
[email protected]
113
Due to above condition, unintentionally we increase the size of device,
cause of this the electron mobility & holes mobility effect threshold
voltage VT of the transistor.
The transistor property may varryupto +-10% due to affect in VT.
How VTchange :
ID = ½ μn . Cox . (W/L ).( Vgs– VT )2
Where
ID = Drain current
μn = Mobility carriers of device either minority carrier or
majority carrier
Cox = Thickness of oxide
W = Width of device (OD)
L = Length of device (OD)
Shubham Kumar
[email protected]
114
Vgs = gate source voltage
VT = Threshold voltage
Due to increase in mobility charge carrier the threshold voltage is varying
+-10-20%
Example:
Assume in PMOS we fixed VT = 0.7v
Due to increase in mobility extra majority charge carrier present in PMOS
due to LOD and device becomes turn on in 0.3v or 0.4v.
In PMOS majority charge carrier is holes
Similarly
Assume in NMOS we fixed VT = 0.7v
Due to increase in mobility extra majority charge carrier present in NMOS
due to LOD and device becomes turn on in 0.9v or 1.0v.
In NMOS majority charge carrier is electrons.
Shubham Kumar
[email protected]
115
1. Well proximity effect (WPE) :
The transistors that are close to the well edge have different performance
than ideally placed transistors, because of this effect the transistor speed
can vary by +- 10%.the Vt of transistor got changed.
The transistor placed to the well boundary so it will get many problems
during ion implantation. Implanted ion is coming to the well boundary
and reflected/scatter from the well boundary to transistors Q1 & Q5
boundary and ions are deposited on the Q1 Q5 boundary. Ion particles
are scattered/reflected due to photoresist on both side of Nwell. These
ions are deposited only those transistors who are near to the well
boundary, so any one of the terminals of transistors gets affected by ion
implantation and the rest of the transistor will get uniform ions.
Solution:
• Use dummy surrounded to the active device
• The Well boundary should be 1.5 or 2 um away from the active
device
Shubham Kumar
[email protected]
116
2. Shallow trench isolation (STI):
Shallow Trench Isolation, is a technique used to electrically
isolate neighboring transistors and other devices on an
integrated circuit. This isolation is achieved by etching
shallow trenches into the semiconductor substrate and
filling them with insulating material, usually silicon dioxide
(SiO2). The STI effect refers to the impact of these isolation
structures on the electrical characteristics of the devices.
The presence of STI structures can cause variations in
transistor parameters, such as threshold voltage or
parasitic capacitance. Careful design and optimization are
necessary to minimize the STI effect and ensure consistent
device performance.
Shubham Kumar
[email protected]
117
Silicon is dielectric material so it makes bond with active
OD. So STI covalent bond share with active OD covalent
bond & unintentionally the mobility carrier is changed,
cause of this VT also changed and the performance of the
device affected.
Video link:
LOD: https://youtu.be/HPprk_pgPXw
WPE: https://youtu.be/cd5qdHtxogs
STI: https://youtu.be/zOXc-ZfPosY
Shubham Kumar
[email protected]
118
10. Guardring
Shubham Kumar
[email protected]
119
Shubham Kumar
[email protected]
120
Shubham Kumar
[email protected]
121
Floating Well:
Shubham Kumar
[email protected]
122
11. General Layout Guidelines
Layout Steps:
Shubham Kumar
[email protected]
123
Guidelines for analog layout:
❖ Things to remember:
Shubham Kumar
[email protected]
124
12. Routing Importance
Shubham Kumar
[email protected]
125
➢ Example of routing in Layout :
Shubham Kumar
[email protected]
126
➢ A Few of the options for symmetric Nets :
Shubham Kumar
[email protected]
127
➢ Symmetric Routing: Basic Trick
Shubham Kumar
[email protected]
128
➢ Detailed Solution to Balanced Route example
Shubham Kumar
[email protected]
129
➢ Detailed solution to balanced route example :
Shubham Kumar
[email protected]
130
Physical Design Routing Process:
• Metal routing:
a. It involves generating metal wires to connect the pins of same
signal while obeying manufacturing design rules.
b. Before routing is performed on the design, cell placement has to be
carried out wherein the cells used in the design are placed.
c. The connections between the pins of the cells pertaining to same
signal need to be made. At the time of placement, there are only
logical connections between these pins.
d. The physical connections are made by routing. More generally
speaking, routing is to locate a set of wires in routing space so as to
connect all the nets in the netlist taking into consideration routing
channels’ capacities, wire widths and crossings etc.
e. The objective of routing is to minimize total wire length and
number of vias and that each net meets its timing budget. The tools
that perform routing are termed as routers.
f. You typically provide them with a placed netlist along with list of
timing critical nets. These tools, in turn, provide you with the
geometry of all the nets in the design.
Shubham Kumar
[email protected]
131
• Stages of routing:
I. GLOBAL ROUTING
II. TRACK ASSIGNMENT
III. DETAIL ROUTING
IV. SEARCH & REPAIR
1. Global Routing
• In global routing, the region to be routed are divided into sectors
(tiles/rectangles) called global routing cells.
• Then it decides tile to tile path for the nets and simultaneously trying to optimize
the length, without actually making any physical connection.
• The routing capacity of each cell depends on the blockages, routing tracks, pin
density inside it.
• This rough routing is done on the basis of available tracks in the region.
• If the required routing resources are greater than the available routing resources,
then it will lead to congestion.
• So it is called coarse grain routing assignment.
2. Track Assignment
• After the cell estimation, tracks are assigned to each global routes.
• The tracks are assigned in vertical and horizontal direction for each partition
• The direction of routing is dependent on the metal used, which has preferred
routing direction.
For eg. If metal1 has routing direction Horizontal, then Metal2 has direction
Vertical
• In this stage, the global routes are replaced with metal layer, which has many
DRC violations, Signal Integrity (SI) and timing violations
Shubham Kumar
[email protected]
132
3. Detailed Routing
• In detailed routing, the router uses the scheme made in the global routing and
track assignment phases to lay metals to connect the nets to the pins
• The violations that were created in the previous stage, will be fixed by multiple
iterations of routing, so that no connections will be left short, open or spacing
violations.
• First, the block is divided into specific areas called the sboxes (switch boxes)
which comprises of multiple cells
• These boxes are in alignment with the cell boundary.
Fill Stage
Fill stage comes after routing optimization, where filler cells and metal fills are added to
meet the DRC rules. Two steps are mainly performed in this stage.
• Filler cells are used for rail continuity and to fill up gaps between standard cells in
the row, and thereby reducing the DRC violations created by the base layers
• Filler cells are physical-only cells designed in such a way that they contain only n-
well, p-well and power rails
• It is also possible to reduce the IR drop by inserting de-cap filler cells, but this
comes at a cost of higher leakage current
• The metal fills also known as dummy metal layer, are small, floating metal nets,
inserted in empty spaces in the design after post-route optimization in order to
maintain uniformity in metal layer density
• These are added to meet to metal density DRC rules (density violations) which are
mandatory by most manufacturing processes
Shubham Kumar
[email protected]
133
Noise and Shielding:
Interconnect shielding is used in VLSI designs to avoid noise interference from the
cross-coupling capacitance between adjacent signals. This paper takes advantage of
the shields already present in the design, and uses them to tune the propagation delay
of the clock signals, thus eliminating expensive dedicated delay buffers. The problem
of obtaining the desired delay at a minimum shielding cost (silicon area) is
formulated as a calculus of variations problem. An analytical solution shows that a
square root shield profile is optimal. The shield should connect to a quiet low-
impedance node such as an analog ground line.
• For the low noise circuit, a p-well ring, which is tied to VSS is recommended to
surround all PMOS device in each analog circuit block
• For the low noise circuit, a N-well ring, which is tied to VDD, is recommended to
surround all NMOS device in each analog circuit block
• Put NMOS in RW (NW hole in DNW) is a good practice of isolating critical circuit
from substrate noise. Make sure every NW connected to DNW must be the same
potential
• Use separate power supplies and ground buses for the noisy and sensitive
circuit and also for the analog and digital circuits
• Keep enough distance b/w the noisy and sensitive area use guard ring to
stabilize substrate and well potential
• For low noise OTA circuit, recommend input pair to be surrounded by identical
dummy device tied to ground or power
Shubham Kumar
[email protected]
134
Signal name that needs to be shield:
• CLK line (minimum cross-talk)
• DATA Line (minimum cross-talk)
• Reference Signal
• Bias / Current signal
Type of noise:
• Inherent noise
▪ Noise resulting from the discrete and random movement of charge in a
device
▪ Thermal noise, Flicker noise, shot noise
▪ The noise floor depends on the circuit design quality
• Quantization noise
▪ Noise resulting from the finite digital word size
▪ The SNR (signal-to-noise ratio) depends on the accuracy of ADC and DAC
• Coupled noise (Crosstalk)
▪ Noise resulting from the signals adjacent circuits deeding into each other
▪ The noise immunity depends on a layout.
Noise in Signal:
Shubham Kumar
[email protected]
135
Fig: Feedback connection reference
Shubham Kumar
[email protected]
136
Shielding of interconnects:
Shielding of interconnects in analog layout design is an important consideration to
minimize unwanted noise and interference that can degrade the performance of analog
circuits. Shielding techniques aim to isolate sensitive signals from external sources of
noise and to reduce the coupling between adjacent signals.
Metal Shielding: Metal shields, often made of the same metal layer as the interconnects,
can be placed around sensitive signal paths to protect them from external
electromagnetic interference (EMI). These shields can be grounded to divert the noise
currents away from the sensitive signals. Metal shields can be designed as a continuous
layer, encasing the sensitive signal traces, or as segmented shields placed strategically
around the sensitive areas.
Shubham Kumar
[email protected]
137
Shubham Kumar
[email protected]
138
Bilateral Shielding:
Bilateral shielding, also known as dual-sided shielding, it provides enhanced isolation and
protection against noise and interference from various directions. The techniques
mentioned earlier, such as full metal shields, segmented shields, and grounded planes on
both sides, can be utilized for bilateral shielding.
Coaxial Shielding:
Coaxial Shielding is a technique commonly used in analog layout design to provide
effective shielding and reduce interference for sensitive analog signals. It involves the use
of a coaxial cable structure for transmitting the analog signal, which consists of an inner
conductor surrounded by an outer conductor (shield) with a dielectric material in
between.
The outer conductor, typically a metal shield, is connected to a reference potential, often
ground, and provides a protective barrier against external electromagnetic interference.
This shielding layer helps to minimize electromagnetic radiation and the pickup of
unwanted noise by the inner conductor, which carries the desired analog signal.
Shubham Kumar
[email protected]
139
Buffers
In analog design, buffers play an important role in maintaining the integrity and stability
of signals. A buffer is essentially an amplifier that helps overcome signal degradation or
loading effects when a signal needs to be transmitted from one part of a circuit to
another.
Shubham Kumar
[email protected]
140
• Reduce the fanout by load splitting by buffering or cloning.
Fig. (a) shows a buffer driving four other cells. In fig. (b), the load is split using Cloning. The
first buffer is cloned and each buffer now drives half of the load. In fig.(c), the load is split
using buffering. Two new buffers are added at the output of buffer A. Now buffer A is driving
C1 and C2 and each of them are driving half of the load.
Shubham Kumar
[email protected]
141
Clock Tree conection by using buffers:
In digital integrated circuit design, clock trees are used to distribute a clock signal uniformly to
different parts of the circuit. Buffers play a crucial role in connecting the various components of
the clock tree.
The clock signal generated by the clock source needs to be propagated to multiple destinations
across the chip. However, as the clock signal travels through the chip, it can experience signal
degradation due to factors such as parasitic capacitance, resistance, and inductance. This
degradation can lead to timing issues and unreliable operation of the circuit.
To mitigate these problems, buffers are inserted in the clock tree at strategic locations. Buffers
act as signal amplifiers and help to maintain the signal integrity and synchronization across the
chip. They drive the clock signal with sufficient strength to overcome the loading effects and
noise encountered along the signal path.
By using buffers, the clock signal can be distributed to different parts of the chip more efficiently.
The buffers drive the clock signal to multiple branches, ensuring that the clock arrival times are
balanced and that each part of the circuit receives the clock signal at the desired timing.
The clock tree is typically designed with a hierarchy of buffers, starting from the clock source and
branching out to various levels of the circuit. The buffer placement is carefully optimized to
ensure proper clock distribution, minimal skew (variation in arrival times), and balanced clock
tree paths.
Shubham Kumar
[email protected]
142
Double patterning (metal coloring)
Technology- advance node
Multiple patterning (or multi-patterning) is a class of technologies for manufacturing
integrated circuits (ICs), developed for photolithography to enhance the feature density.
It is expected to be necessary for the 10 nm and 7 nm node semiconductor processes and
beyond. The premise is that a single lithographic exposure may not be enough to provide
sufficient resolution. Hence additional exposures would be needed, or else positioning
patterns using etched feature sidewalls (using spacers) would be necessary.
Even with single exposure having sufficient resolution, extra masks have been
implemented for better patterning quality such as TSMC at its 22nm node. Even
for electron-beam lithography, single exposure appears insufficient at ~10 nm half-pitch,
hence requiring double patterning.
When the silicon features become too small relative to the wavelength of light being used
to etch them, however, the defect density skyrockets. Double patterning — using two
photomasks, each with half of a pattern — can correct this, as shown below.
Shubham Kumar
[email protected]
143
Double patterning:
• M1 metal require a set of 2 masks
• Masks are referred as E1/E2 (Exposure1, Exposure2)
• The process of generating the two masks is call decomposing or coloring
Shubham Kumar
[email protected]
144
Conclusion: Layout should be DRC checked to be odd cycle clean.
Video link :
1. https://youtu.be/CYvPs3tyu3Y
2. https://youtu.be/YDnIYmQ_Ll4
Shubham Kumar
[email protected]
145
13. DRC and LVS
Design Rule Check (DRC):
• Determines whether the layout satisfies the MOSIS design rules
• Checks for widths, separations and overlaps
• Differ with the process technology used
• DRC is computationally intensive – used on parts of ASIC separately
• Some commercial tools available for DRC are
1. Caliber by Mentor Graphics
2. PVS and Assura by Cadence
3. Hercules by Synopsys
Video link:
1. https://youtu.be/4nhEYA1rf4w
2. https://youtu.be/HnsuU4SIDAQ
Shubham Kumar
[email protected]
146
14. SXCUT and PSUB2 layer
• SXCUT is the name used by GLOBALFOUNDRIES and Samsung for a CAD layer used
to define two or more region of substrate (ground/vss) for LVS purposes that are
electrically connected but has different name in schematic, and PSUB2 used in the
TSMC.
• The SXCUT/PSUB2 layer is also a useful layer for separating out analog and digital
grounds (e.g : VSSA and VSSD) for LVS purposes only
• SXCUT/PSUB must be used very carefully and only when it is absolutely necessary
• On the schematic side, instantiate the top level symbol in a schematic and short
together all the pins connected to the P-substrate (e.g:VSSA and VSSD etc)
Shubham Kumar
[email protected]
147
15. Parasitic capacitance and resistance
• Use higher metals for the net in which parasitic capacitance are important.
• Increase the spacing of all the nets from the net which is critical (for which parasitic
capacitance is important).
• Put some other reference signal (with which parasitic capacitance is not so
important) in between the nets for which lower parasitic capacitance required. This
is shielding.
• Avoid too much parallel routing of metals.
Shubham Kumar
[email protected]
148
Shubham Kumar
[email protected]
149
Parasitic Extraction of a MOSFET:
Shubham Kumar
[email protected]
150
Crosstalk induced noise:
The near and far end victim line currents sum to produce the near and far end
crosstalk noise.
Coupled currents:
Shubham Kumar
[email protected]
151
16. Sheet Resistance
Shubham Kumar
[email protected]
152
Shubham Kumar
[email protected]
153
Sheet Resistance calculation :
1. Determine the resistivity (ρ) of the material: The resistivity is a material property that
indicates its inherent resistance to current flow. It is typically provided in units of
ohm-meters (Ω·m). You can obtain the resistivity value from material datasheets or
literature.
2. Measure the dimensions of the conductive path: Determine the width (W) and length
(L) of the conductive path for which you want to calculate the sheet resistance. The
width is the dimension perpendicular to the current flow, while the length is the
dimension along the current flow direction.
Shubham Kumar
[email protected]
154
3. Calculate the sheet resistance: Plug the values of resistivity (ρ), width (W), and length
(L) into the formula mentioned above:
R_sheet = Rρ (L/W)
Example:-
• Resistance constance value will be given in the Technology Document,
• R = ƍL/tW, ƍ/t value will be given
• Try to make L/W =1 or make a squer unit
• Total number of squer unit = total length / width
• Total Resistance of wire = (Constance value ) X (total number of squer unit )
Shubham Kumar
[email protected]
155
• Via Resistance:
Shubham Kumar
[email protected]
156
Shubham Kumar
[email protected]
157
17. Electromigration (EM)
During EM, the momentum transfer of highly accelerated electrons causes the atoms in
the metal conductor to migrate. This movement results in the thinning or void formation
within the metal, disrupting the continuity of the conductive path. Over time, EM-induced
damage can lead to open circuits, short circuits, or device malfunctions.
EM is more likely to occur in narrow and long interconnects where the current density is
higher. It is influenced by factors such as temperature, material properties, and the
magnitude of the current passing through the conductors. Higher temperatures and
higher current densities accelerate the rate of electromigration.
To mitigate the effects of EM, various strategies are employed in integrated circuit design.
These include widening the metal conductors, using materials with higher resistance to
electromigration, and implementing redundancy techniques to distribute the current
across multiple paths. Proper thermal management and current density optimization are
also crucial in reducing the risk of electromigration-related failures.
Shubham Kumar
[email protected]
158
Solution for EM failure:
• Increase Metal Width and Thickness: One approach is to increase the width and
thickness of the metal conductors involved in the EM failure. This helps to reduce
the current density and distribute the current more evenly, minimizing the risk of
electromigration-induced damage.
• Current Density Optimization: Analyse and optimize the current paths to ensure
that the current density is within safe limits. By redistributing the current flow and
adjusting the routing, you can alleviate the stress on specific interconnects prone to
EM failures.
• Implement Current Mirrors: Utilize current mirrors to reduce the current density in
critical paths. Current mirrors can replicate a lower current into higher currents,
reducing the load on vulnerable interconnects and minimizing the risk of EM
failures.
• Metal selection: switch the connected metal into higher metal layer.
• Insertion of Buffers
• Upsizing the driver cell
• Use metal stack
• Use parallel routing
Shubham Kumar
[email protected]
159
18. IR drop
IR drop, also known as voltage drop, refers to the voltage loss that occurs
along a conductive path due to the resistance of the path and the current
flowing through it. In analog layout design, managing IR drop is crucial to
ensure proper voltage levels and accurate signal processing. Excessive IR drop
can lead to degraded performance, reduced signal integrity, and even
functional failures in analog circuits.
To mitigate IR drop in analog layout design, you can follow these steps:
Shubham Kumar
[email protected]
160
❖ How it effects the timing:
IR Drop is Signal Integrity effect caused by wire resistance and current
drawn off from Power (Vdd) and Ground (Vss) grid. According to Ohms law,
V=IR. If wire resistance is too high or the current passing through the metal
layer is larger than the predicted, an unacceptable voltage drop may occur.
Due to this un acceptable voltage drop, The power supply voltage
decreases. That means the required power across the design is not reaching
to the cells. This results in increased noise susceptibility and poor
performance.
Shubham Kumar
[email protected]
161
19. AnteNNa Error
• During chip processing, interconnect components are subject to plasma
etching. If the amount of charge collected on these conductor lines is
sufficient, it may damage or destroy the gate of transistor
• Antenna effect occurs only gate terminal because of present of oxide
layer which form capacitance.
Antenna basics:
• Mechanism -
✓ Damage is induced in the gate oxide due to plasma processing steps
including poly, inter-layer dielectric, contact, via and metal processing
✓ Due to lack of S/D diode to substrate (SOI), Source/Drains are also
susceptible
✓ Antenna protection is needed on all device terminals
• Design rule (refer to design manual antenna design section)
✓ A maximum ration is defined for metal area (or via) to the poly gate area
• Design impact
✓ Design time
✓ Adding diodes impacts parasitic
and linearity.
Shubham Kumar
[email protected]
162
Shubham Kumar
[email protected]
163
Shubham Kumar
[email protected]
164
Shubham Kumar
[email protected]
165
❖ How to solve antenna effect ?
a. Reduce metal (or via) area until the maximum ration is met
b. Higher metal jumper to cut the large area lower layer metal (via) to
the gate
c. Use antenna diode (reverse biased) to add more discharge path
(area)
d. Well tie or substrate tie are also counted as “antenna diode” area
e. Most of the time, ESD diodes help fix the antenna violations at I/O
f. Antenna violations on nets with negative voltage swing need to be
fixed using back-to-back diode
• Metal Jumpers:
Charges accumulated with each etching process gets removed during
Planarization process so jumpers help transfer problem to next level
Shubham Kumar
[email protected]
166
• Antenna Diodes:
A diode helps dissipate charges accumulated on metals. An antenna
diode should be placed as near as possible to gate of device on lower
level of metal
• Adding Dummies:
➢ A dummy device can be used to increase the gate area. It helps when
ratio is little more than optimum.
➢ For large ratio, it would lead to the adding lot of dummies hence not
preferred.
➢ A dummy device can be used to form a diode and used. This process
takes lesser area than adding conventional antenna diode.
➢ This process has less complex DRC as compare to DRC in real antenna
diode
Shubham Kumar
[email protected]
167
Video link:
1.https://youtu.be/WyfiQwtRCC0
2. https://youtu.be/T8PqxIDQ5JE
Shubham Kumar
[email protected]
168
20. Latch-Up
SCR:
• The Forward Conduction Mode is the only mode at which the SCR will be in the ON
state and will be conducting. We can make the SCR conduct in two different ways,
one we can increase the applied forward bias voltage beyond the breakdown
voltage or else we can apply a positive voltage to the gate terminal.
• The IC has very low voltage, so the forward bias voltage it’s not happen. But If the
positive voltage applied at the gate of SCR will help the SCR to move to the
conduction state. During this mode of operation, the SCR will be operating in
forward bias and current will be flowing through it.
Shubham Kumar
[email protected]
169
Latch-up:
Shubham Kumar
[email protected]
170
➢ Another reason ESD & microwave interface & supply voltage is
exceeding the absolute maximum rating
➢ A common cause of latch-up is a positive or negative voltage spike
on an input or output pin of a digital chip that exceeds the rail
voltage by more than a diode drop
➢ Another cause is the supply voltage exceeding the absolute
maximum rating, often from a transient spike in the power supply.
Operation of Latch-Up:
➢ The equivalent circuit of the parasitic components of CMOS inverter
as shown in the below figure.
➢ When the signal at the output node is 0.7V higher than the VDD
(overshooting), the bipolar (VT2) may be turned on first and similarly
the bipolar (LT2) will be turned on while the output signal is lower
than -0.7V (undershooting).
➢ For the fact that the collector of each BJT (i.e. VT2) plays the role as
base of the other transistor (i.e. LT2) and the collected carriers will
reduce the potential difference between emitter and base of the
transistor (LT2).
➢ Under this situation, the positive feedback loop will make the
concentration of minority carrier increased to higher than the doping
concentrations of both the NW and PW
➢ Subsequently, the potential barrier NW and PW will be disappeared
and then obtains a highly conductivity path between VDD and VSS.
This may result in the circuit malfunction, and destroy the device in
the worst case
Shubham Kumar
[email protected]
171
Shubham Kumar
[email protected]
172
❖ How to solve latch-up problem?
1. NMOS and PMOS should be away from 15um to each other or from
ESD diode.
2. NMOS and PMOS should be close double guard ring
3. ESD circuit
4. STI – Silicon trench isolation (between PMOS & NMOS)
5. Deep N-well method
6. Adding the insulation layer between PMOS & NMOS
7. Device is fabricated with lightly doped epitaxy layer on heavily doped
substrate
Shubham Kumar
[email protected]
173
Shubham Kumar
[email protected]
174
Condition1:
Solution:
1. NMOS and PMOS of pass gate1 should be in double close guardring or away from 15um to each other.
2. NMOS and PMOS of pass gate2 its need one guardring but it should be close.
3. Diffusion/OD width of guardringshould be follow to the technology minimum required width.
Condition2:
Solution:NMOS and PMOS should be in close guardring (single)or away from 15um-40um and more to
ESD diode.(spacing depend on technology)
Shubham Kumar
[email protected]
175
21. Electro static discharge (esd)
What is an ESD
• Static electricity is the charge generated on the surface of dielectric materials. Static
electricity is discharged when positively and negatively charged objects are brought
into contact with or close to each other. This phenomenon is called an electrostatic
discharge (ESD). When a charged human body touches an electronic device, the
resulting ESD can be several thousand volts.
• Everyone who’s ever walked across a carpet then gotten a shock when they touched a
doorknob knows exactly what an ESD event is. In an integrated circuit (IC), an ESD event
typically induces electrical currents on the order of 0.1–10 amps, which lasts between
10-6 and 10-3 seconds, and dissipates energy on the order of 10–100 watts. ESD
protection methods shunt these ESD currents through unpowered devices (ESD
protection devices) along intended ESD discharge paths, while clamping the voltage at a
safe level, preventing any functional degradation to the protected devices.
Shubham Kumar
[email protected]
176
that the placement of clamps is done to a level where a chance of IC failure due to ESD
events is reduced. Any IC design engineer can use the “IO planner” tool as it does not
demand deep ESD knowledge from the engineer.
An ESD event is basically a charge balancing act between two objects at different
potentials. It can happen through direct contact or through induced electric field. An ESD
strike from different sources is illustrated in Figure 1-1.
High voltage or current stress occurring for a very short interval of time is the
characteristic of an ESD event. Thus the impact of the event is so high that it can damage
the IC. The different damages caused by ESD failures are shown in Figure 1-2. Study of
ESD is a field where electrical, thermal and mechanical engineering join hands. The
solution to protect ICs from ESD events is to discharge the high current via a low
impedance shunting path or clamp the PAD voltage to a sufficiently low level or ground.
Shubham Kumar
[email protected]
177
Figure - Damages caused by ESD failures: (a) junction breakdown, (b) metal/via damage,
(c) gate oxide damage
ESD failures are disastrous and can lead to instant malfunction of IC chips.
Junctions and oxides are prone to damages. The basic mechanisms for ESD induced failure
are:
1. Junction burnout in silicon: This is the most common HBM failure mechanism – the
injection of an ESD transient of energy can drive the junction into a breakdown.
2. Oxide breakdown: Another major category of ESD damage arises when a high voltage
applied across the oxide layer – high-voltage overstress – causes the dielectric to
breakdown. As the dielectric breaks down, it starts conducting current. The heat from the
current flow can produce hot spots and melt down the dielectric, silicon, and other
materials.
Shubham Kumar
[email protected]
178
ESD Diode:
Layout top view of conventional (a) P diode stackup and (b) N diode stackup.
The diode stackup has been used as on-chip electrostatic discharge (ESD)
protection for some applications in which the input/output signal swing is
higher than VDD or lower than VSS. A novel ESD protection structure of
diode stackup is proposed for effective on-chip ESD protection
Shubham Kumar
[email protected]
179
ESD Protection ckt
There is different on-chip protection methods used for ESD protection. The rail-based ESD
protection circuit is one among them. In general, this scheme has a primary network,
secondary network and an RC-triggered power clamp
Shubham Kumar
[email protected]
180
Here, diodes D1, D2 form the primary network and D3, D4 form the secondary network.
M1 represents the clamping device which is triggered by the RC arrangement and three
series inverters. When the positive ESD pulse is induced on the PAD, there is no direct
path from the PAD to GND; diodes D1 and D3 are triggered on and the ESD current flows
through them to the power supply line and to GND through the triggered power clamp.
Design of each of the involved devices is done such that it is able to handle the ESD
current. For a negative ESD pulse, current enters the supply line, flows through the power
clamp and through the diodes D2, D4 to the GND line. Placement of the power supply
clamps determines the effectiveness of the IO protection in a rail-based ESD protection
arrangement. Therefore, it is advantageous if the 51 optimum distances to place power
clamps can be estimated so that the probability of failure in silicon is reduced. The work
presented here analyzes the ESD network and suggests the optimum distance to place
power clamps.
Shubham Kumar
[email protected]
181
ESD Test Models
Different test models, categorized by their origin, are used to simulate different ESD
events upon which ESD protection circuits are tested and qualified. The models are the
Human Body Model (HBM)/primary network, and the Charged Device Model
(CDM)/secondary network.
Shubham Kumar
[email protected]
182
The HBM represents the ESD event which occurs when a charged human body or a
charged material touches the electronic circuit. Charge transfer happens through physical
contact.
Shubham Kumar
[email protected]
183
HMB Characteristics
The main goal of ESD protection circuits is to provide a low-resistive discharge path
between any two pins in the chip (Vdd/Vss). The ESD clamp provides the discharge path
for an ESD event that happens between the two power rails (Positive stress at VDD node
while VSS node is grounded (PSD-mode), Positive stress at VSS node while VDD node is
grounded (NDS-mode)).
Shubham Kumar
[email protected]
184
Secondary ESD / CDM
The charged device model simulates the event where the un-grounded electronic parts
accumulate charge during manufacturing or assembly and then discharge to ground.
Unlike the HBM and MM event, CDM event involves a single pin on the module.
Shubham Kumar
[email protected]
185
CDM Characteristics:
Shubham Kumar
[email protected]
186
ESD to Device connection
Resistance b/w BUMP to diode connection should be less than resistance b/w
BUMP to device connection
Shubham Kumar
[email protected]
187
Layout sample of pad ESD protection:
Shubham Kumar
[email protected]
188
22. clamp
Shubham Kumar
[email protected]
189
Shubham Kumar
[email protected]
190
Power clamps are present inside each power supply IO. They are used to shunt current to
ground from power supply lines when an ESD event occurs or any event which can
potentially damage the electronic components. At the least, a chip will have three such
pins namely, VDDIO (IO-level power supply), VDDC (core-level power supply) and VGND
(ground for both supplies). The RC-triggered power clamp is a simple and efficient
implementation to achieve the same. One such typical RC-triggered power clamp is given
in Figure
Design of the RC network and the 3-stage inverter circuit is done according to the trigger
requirements for the clamp. The width of M1 (size of the transistor) is based on the
maximum value of current it will have to shunt.
Shubham Kumar
[email protected]
191
A power IO functions to provide a power supply for the chip. Power clamps which are part
of the IOs, help reduce risks due to ESD events for the chip. A rule of thumb is to have as
much power IOs, especially for the core power supply, as possible so that the chip is
supplied with stable and robust power.
ESD Clamps can be grouped into two categories: static and transient.
The static clamps provide a static or steady-state current and voltage response. A fixed
voltage level activates static clamps. As long as the voltage is above this level, the clamp
will conduct current. A diode, MOSFET and SCR based clamps are known as static ESD
clamps.
Transient clamps take advantage of the rapid changes in voltage and/or current that
accompanies an ESD event. During this transient, an element is turned on very quickly and
slowly turns off. This type of clamp conducts for a fixed time when it is triggered. An RC
network determines the time constant. These clamps are typically triggered by very fast
events on the supply lines.
Shubham Kumar
[email protected]
192
RC- triggered MOSFET
ELECTROSTATIC DISCHARGE (ESD) protection has become an important task in the pursuit
of the increased reliability of semiconductor ICs . Furthermore, while the required ESD
protection level is HBM 2 kV in most cases, in the Smart Power IC market the customer
requirements are more demanding because Smart Power ICs are used in harsher
environment than general logic IC. In smart power technology, high-voltage MOSFETs
have been used as on-chip ESD protection devices. Among them, the lateral diffused MOS
(LDMOS) and the drain extended MOS (DEMOS) have been widely used as common ESD
protection device because it can function both as output driver and as ESD protection
Shubham Kumar
[email protected]
193
LATCHUP OR LATCHUP-LIKE FAILURE IN ESD POWER CLAMP:
When high voltage MOSFETs such as LDMOS (lateral double diffused MOS) are used in
ESD protection clamps, they are used in “gate-grounded (gg)” configuration. However, as
stated in the Introduction, these ggLDMOSs have very low snapback holding voltages. The
TLP-measured I-V characteristic of a ggLDMOS. In the TLP measurements, pulses having
100 ns duration and 10 ns rise/fall time were used. theggLDMOS snaps back to only 9 V
after the triggering. This strong snapback characteristic of the LDMOS is related to the
turn-on behavior of the parasitic bipolar transistor and the occurrence of the Kirk effect
(base push-out effect) .
When a ggLDMOS is used as I/O clamps, a low holding voltage is helpful to sustain a much
higher ESD current because it leads to the smaller power dissipation. However, when
these are used in power clamps, a low holding voltage poses a threat. The power clamps
may be triggered on by noise transients or by a glitch on the power lines during normal
circuit operations. If the holding voltage of ESD power clamp devices is smaller than the
power supply voltage, the power clamp may not be turned off after being accidentally
turned on. This may cause very serious “latchup” or “latchup-like” failures and may lead
to IC malfunction or even destruction.
This latchup related danger can be avoided if the power supply clamp is designed in such
a way that the devices in the clamp do not enter the snapback region. No-snapback
LDMOSs are one of the ways to achieve this and active research is going on in this area.
However, the design of a new such device usually involves process modification, which is
very expensive. Therefore, in this work, we developed a circuit-level solution in which the
device in the power clamp does not enter snap-back region.
Shubham Kumar
[email protected]
194
Principle of Operation
Shubham Kumar
[email protected]
195
discrimination circuit detects a short ESD pulse, and drives the gate of MOSFET into the
active turn-on mode, forming a low-impedance discharge path to shunt ESD current.
Because of the raised gate voltage, the MOSFET operates below the triggering and does
not enter into the snapback region. Therefore, it can reduce the latchup or latchup like
dangers. The draw-back of the RC-triggered power clamps is the large area requirement.
In the RC-triggered MOSFET clamps, ESD current flows only through the channel region of
the MOSFET because of the absence of the parasitic bipolar action associated with the
snapback. Therefore, a very large MOSFET is required. Furthermore, the RC-frequency
discrimination circuit and the inverter drive circuit occupy additional considerable silicon
area.
To alleviate this area budgeting problem, we propose the Darlington scheme in Smart
Power ICs. By using Darlington configuration as the drive circuit, the silicon area of the
discrimination/drive circuit of the power clamp could be reduced by a factor of two when
compared to that of the conventional RC-triggered circuits.
Fig. 3 shows the schematic of the proposed ESD power clamp circuit using the Darlington
scheme. It consists of three parts, similar to the simple RC-triggered power clamp.
However, the inverter drive circuit in the conventional circuit was replaced by a small
LDMOS (M2) and a resistor. In the event of an ESD, M2 is turned on from the gate
coupling effect, and a current flows through the resistor R1. This current raises the
potential at node “n1” turning on the big LDMOS (M1). Because most of the ESD current
flows through M1, M2 does not need to be large. Therefore the inverter stages like the
one in Fig. 2 are not necessary between the RC network and M2. Therefore, the triggering
section of the proposed circuit can be made much smaller than that of the conventional
circuit
Shubham Kumar
[email protected]
196
(a): The overflow of electric charge shunts through the pull-up diode, and dissipates
through the power clamp. For the pull-up diode to effectively shunt the ESD currents, the
total resistance value of R0+R1+R3+R7+R9 must be within a maximum allowed limit.
(b): The
overflow of electric charge shunts through the pull-up diode, and dissipates through the
VDD power source. The total resistance value of R0+R1+R3+R7+R11 must be within a
maximum allowed limit.
Shubham Kumar
[email protected]
197
(c): The
possible ESD discharge path runs between power pad VDD and ground pad VSS, which
goes through the power clamp. The total resistance value of R11+R9+R10+R12 must be
within a maximum allowed limit.
(d): The
possible ESD discharge path starts from the ESD resistor, passes through secondary ESD
protection (i.e., a pull-up diode), and ends at the power clamp. The total resistance value
of R5+R7+R9 must be within a maximum allowed limit.
Shubham Kumar
[email protected]
198
In (a)-(d), all metal interconnects and vias along the ESD discharge path must be robust
enough to withstand the ESD currents during the ESD event.
(e): The possible ESD discharge path starts at I/O pad A, goes through a pull-up diode, a power clamp, the B2B
diode, the ground bus VSSB, a pull-down diode, and eventually ends at I/O pad B. Not only must all metal
interconnects and vias along this ESD discharge path be robust enough to withstand the ESD currents, but their
effective total resistance value must be within a maximum allowed limit.
Shubham Kumar
[email protected]
199
Shubham Kumar
[email protected]
200
B2B diode
. These elements are combined to form the back-to-back diode. This structure is
appropriate to external, first stage, protection for high voltage ICs. Combining in the same
component, high capacitors for filtering or decoupling, and efficient ESD protection, is a
great challenge in system-level consideration.
Shubham Kumar
[email protected]
201
Layout
In the circuit layout it is extremely important to have good floor planning and power
planning in place as well as a clean layout that passes DRC (Design Rule Check) and LVS
(Layout Versus Schematic) checks.
• Metallization is done up to Higher for drivers so that it has the ability to handle the
current even during a malfunction without breaking down.
• Drain routings for drivers extend as fingers and connect to the PAD which ensures that
there is no break down due to inability to handle current.
• Substrate contacts and guard rings are provided so that chances of latch-up are
reduced.
• ESD diodes are laid out as it is in the standard library.
• Decaps are added wherever possible, to help stabilize the power supply.
• Lower metal are used for signal routing.
• Higher metal are used for power routing and also metals are staked ; care is taken to
make the power plan as robust as possible by having wider metals and maximum
number of vias.
• The layout has no LVS or DRC errors.
Shubham Kumar
[email protected]
202
ESD Network Analysis
An ESD network analysis is done here in order to suggest the optimum distance for power
clamp placement. The aim of the approach is to find out how much margin is available for
the voltage drop at a power bus. According to the available margin, the optimum distance
at which a power clamp can be placed is suggested. Components of an ESD network are
shown in Figure 4-1. Only the parasitic resistance is considered for this analysis since
parasitic inductance is very small in GPIOs and the parasitic capacitance value which may
exist would actually take up some current and it can only reduce the chances of ESD
related failure.
D1, D2 :- Primary ESD protection network. D1 is referred to the HBM up-diode and D2
refers to the HBM down-diode in the following sessions of the report.
D3, D4 :- Secondary ESD protection network. D3 is referred to the CDM up-diode and D4
refers to the CDM down-diode in the following sessions of the report.
Shubham Kumar
[email protected]
203
R2 :- Diode to PAD parasitic resistance
P1 :- PMOS driver
N1 :- NMOS driver
Two failure mechanisms for transistors are considered here. They are junction breakdown
(source-drain junction) and gate-oxide breakdown during both an HBM event and a CDM
event, for both PMOS and NMOS transistors associated with it. Hence, there are three
variables available and there can be up to eight unique cases to be considered to ensure
that the IC does not fail due to an ESD event. When there is an HBM event, current flow is
from PAD to PAD, whereas for a CDM event, it is from PAD to VSS. Kirchhoff’s voltage law
is applied for the loop related to each case and the maximum possible value for RVDD and
RVSS is calculated. By knowing the resistance per µm for power buses, optimum distance
to place power clamps is calculated and suggested.
Figure 4-2 shows the current flow direction for an HBM event for which a junction
breakdown parameter for NMOS driver (N1 of IO-1) is evaluated. The HBM pulse
originates from PAD1 and flows to PAD2 through R2, D1, R1, RVDD, RCLAMP, RVSS and
D2.
Shubham Kumar
[email protected]
204
Figure 4-3 indicates the current flow direction for a CDM event for which the gate-oxide
breakdown parameter for the PMOS transistor (P2 of IO-1) is evaluated. The CDM pulse
originates from VDD and a major portion flows to PAD1 through RCLAMP, RVSS, R1, D2,
R2. A very small amount of current (~0.1%) takes the path through D4, RCDM from RVSS.
1. https://youtu.be/hWxh3HVbcsg
2. https://youtu.be/plZIwt1Mlqw
Shubham Kumar
[email protected]
205
23. I/o Pads or Bond Pads or Wire Bonding
Shubham Kumar
[email protected]
206
Shubham Kumar
[email protected]
207
24. Electrical Rule check / Softcheck
ERC: Checking for all electrical connections that are considered
dangerous.
Shubham Kumar
[email protected]
208
25. Density
There are two types of density errors that are commonly encountered:
1. Over-Density Error: This occurs when the layout design exceeds the
maximum allowed density in a specific area or layer. Over-dense
regions can lead to several issues, including difficulties in etching
and deposition processes, reduced process uniformity, and
increased risk of manufacturing defects. Over-dense areas can also
impact thermal management, electromigration, and signal integrity.
2. Under-Density Error: This occurs when the layout design falls below
the minimum required density in a specific area or layer. Under-
dense regions can result in poor coverage of interconnects,
compromised power distribution, reduced electrical performance,
and weakened mechanical strength. Under-dense areas may also
exhibit increased resistance, increased voltage drop, and
compromised reliability.
Shubham Kumar
[email protected]
209
Instruction of density error
• There are some DRC rules obligating that the density of certain layers in the
layout does not get below or above a certain value.
Ex: Limits are typically 30% < density < 80% for metal
• The Density error not much important at the cell/block level, but usually
they are taken care of at the chip assembly step.
• However we don’t need to meet the density rules manually, usually there are
fill tools that come with the tool that will place dummy layer in the area
where the density is too low.
• Maximum density is exactly the opposite of the minimum density error.
• After the dummy fill is done, we don’t connect them to any potential. They
are left floating in the layout. Leaving the dummy fill floating will not affect
the circuit working because we take care of the DRC rules which will specify
spacing between the metal drawn layer and the metal dummy fill layer.
• If any net in the layout is parasitic critical, we usually don’t put dummy fills
over it to avoid any kind of capacitive effects.
• Due to the density issue, Due to a large variations in density can cause
thermal expansion stress
• Inserting dummy fill can help make uniform layers, this practice may
degrade the performance of sensitive analog circuitry of high-speed digital
blocks due to added parasitic capacitance
Shubham Kumar
[email protected]
210
To mitigate density errors in analog layout design, it is important
to follow the density rules and guidelines provided by the foundry
or design process. Here are some key strategies:
Shubham Kumar
[email protected]
211
How to fix min density of metal/Poly
• Add dummy metals / Poly (device) or dummy device on the vacant
space.
Shubham Kumar
[email protected]
212
26. High speed layout design
Shubham Kumar
[email protected]
213
Shubham Kumar
[email protected]
214
Crosstalk:
Crosstalk is the unwanted coupling of signals between parallel traces. Proper routing
and layer stack-up through microstrip and stripline layouts can minimize crosstalk.
To reduce crosstalk in dual-stripline layouts, which have two signal layers next to
each other, route all traces perpendicular, increase the distance between the two
signal layers, and minimize the distance between the signal layer and adjacent plane.
Use the following steps to reduces crosstalk in either microstrip or stripline layouts:
• Widen spacing between signal lines as much as routing restrictions will allow. Try
not to bring traces closer than three times the dielectric height.
• Design the transmission line so that the conductor is as close to the ground plane as
possible. This technique will couple the transmission line tightly to the ground plane
and help decouple it from adjacent signals.
• Use differential routing techniques where possible, especially for critical nets (i.e.,
match the lengths as well as the gyrations that each trace goes through).
Shubham Kumar
[email protected]
215
Forward and reverse crosstalk waves created by capacitive and inductive
coupling:
Shubham Kumar
[email protected]
216
Signal Integrity
For a single-ended trace, like clock transmission line, it could be improved using the
following guidelines:
• Keep clock traces as straight as possible. Use arc-shaped traces instead of right-
angle bends.
• Do not use via in clock transmission lines. Via can cause impedance change and
reflection.
• Place a ground plane next to the outer layer to minimize noise. If you use an inner
layer to route the clock trace, sandwich the layer between reference planes.
• Make sure D > 2S to minimize the crosstalk between the two differential pairs.
• To minimize reflection noise, place the differential traces S = 3H as they leave the
device.
• Keep the distance between the differential traces (S) constant over the entire trace
length.
• Keep the length of the two differential traces the same to minimize the skew and
phase difference.
• Avoid using multiple via, because they can cause impedance mismatch and
inductance.
Shubham Kumar
[email protected]
217
Clk routing Bends
A right angle in a trace can cause more radiation. The capacitance increases in the
region of the corner, and the characteristic impedance changes. This impedance
change causes reflections.
• Avoid right-angle bends in a trace and try to route them at least with two 45°
corners. To minimize any impedance change, the best routing would be a round bend
(see Figure).
• Separate high-speed signals (for example, clock signals) from low-speed signals and
digital from analog signals; again, placement is important.
• To minimize crosstalk not only between two signals on one layer but also between
adjacent layers, route them with 90° to each other.
The use of vias is essential in most routings, but the designer has to be careful when
using them. They add additional inductance and capacitance, and reflections occur
due to the change in the characteristic impedance. Vias also increase the trace length.
• Avoid vias in differential traces. If it is impossible to avoid them, use vias in both
traces or compensate the delay also in the other trace.
Shubham Kumar
[email protected]
218
Clock Buffering Mechanisms
• Clock signal is global in nature.
1. Clock lines are typically very long.
2. Long wires have large capacitances, which limit the performance of the
system.
3. RC delay plays a big factor.
Shubham Kumar
[email protected]
219
Power Distribution
A system can distribute power throughout the chip with either power planes or a
power bus network/grid. You can use power planes on multi-layer metals that consist
of two or more metal layers that carry VDD and GND to the devices. Because the
power plane covers the full area of the chip, its DC resistance is very low. The power
plane maintains VDD and distributes it equally to all devices while providing very
high current-sink capability, noise protection, and shielding for the logic signals on
the chip. It is recommended to use lower planes to distribute power. The power bus
network which consists of two or more wide metal traces that carry VDD and GND to
devices. When designing with power bus networks, be sure to keep the trace widths
as wide as possible. The main drawback to using power bus networks is significant
DC resistance. It is recommended to separate analog and digital power planes. For
fully digital systems that do not already have a separate analog power plane, it can be
expensive to add new power planes. However, you can create partitioned islands
(split planes). An example board layout with phase-locked loop (PLL) ground islands
is shown on Figure.
Shubham Kumar
[email protected]
220
If your system shares the same plane between analog and digital power supplies,
there may be unwanted interaction between the two circuit types. The following
suggestions will help to reduce noise:
• For equal power distribution, use separate power planes for the analog (PLL) power
supply. Avoid using trace or multiple signal layers to route the PLL power supply.
• Use a ground plane next to the PLL power supply plane to reduce power-generated
noise.
• Place analog and digital components only over their respective ground planes.
Ground Bounce
As digital devices become faster, their output switching times decrease. Faster
switching times cause higher transient currents in outputs as they discharge load
capacitances. These higher currents, which are generated when multiple outputs of a
device switch simultaneously from a logic high to a logic low, can cause a board-level
phenomenon known as Ground Bounce. Many factors contribute to ground bounce.
Therefore, no standard test method predicts ground bounce magnitude for all
possible chip environments. Determine each condition and each device’s relative
contributions to ground bounce by testing the device under these conditions. Load
capacitance, socket inductance, and the number of switching outputs are the
predominant conditions that influence the magnitude of ground bounce in
programmable logic devices.
• Use wide, short traces between the via and capacitor pads, or place the via adjacent
to the capacitor pad.
• Traces stretching from power pins to a power plane (or island, or a decoupling
capacitor) must be as wide and as short as possible. This reduces series inductance,
and therefore, reduces transient voltage drops from the power plane to the power
pin.Thus, reducing the possibility of ground bounce.
Shubham Kumar
[email protected]
221
• Connect each ground pin or via to the ground plane individually. A daisy chain
connection to the ground pins shares the ground path, which increases the return
current loop and thus inductance.
• Place the decoupling capacitors as close as possible to the power and ground pins of
the device.
• Add external buffers at the output of a counter to minimize the loading on silicon
device pins.
• Configure the unused I/O pin as an output pin and then drive the output low. This
configuration acts as a virtual ground. Connect this low driving output pin to GNDINT
and/or the boards ground plane.
• Turn on the slow slew rate logic option when speed is not critical.
• Place the power and ground pins next to each other. The total inductance will be
reduced by mutual inductance, since current flows in opposite directions in power
and ground pins.
• Use a bigger via size to connect the capacitor pad to the power and ground plane to
minimize the inductance in decoupling capacitors.
Shubham Kumar
[email protected]
222
27. Basic Circuits
Shubham Kumar
[email protected]
223
• Level shifter
A logic level shifter, or a voltage level translator, is used to translate
signals from one logic level (voltage) to another. Nowadays, most of the
system runs on 3.3V or 5V. Logic level is simply a HIGH and LOW level
of voltage for a certain board or IC. Knowing this, a logic level shifter is
necessary to create a path between processors, sensors, or boards of
different voltage levels.
Shubham Kumar
[email protected]
224
28. SERDES
What is a SERDES?
• SERDES = serializer – deserializer
• Used to transmit high speed IO-data over a serial link in I/O interfaces to speeds
upward of 2.5Gbps.
• SerDes TX: transmit parallel data to receiver over high-speed serial-link.
• SerDes RX: receive data from serial link and deliver parallel data to next-stage.
Shubham Kumar
[email protected]
225
Advantage: Fast signaling, robust, high signal integrity.
• Low cost: Due to lesser number of pins and lower board space usage. The extra
space can be reused for other components.
• Less Crosstalk: Fewer conductors in proximity, thus serial links minimize cross-talk
• Clock skew issues: Parallel links face clock skew issues between parallel channels.
This becomes redundant for serial links as they usually use asynchronous and
unclocked data transmission.
Shubham Kumar
[email protected]
226
A PLL in the most basic of terms is a feedback system. It constitutes of a Phase
Detector, charge pump loop filter and a voltage controlled oscillator. Figure 3.2 shows
a basic phase locked loop.
The PLL is said to achieve lock when the phase difference between the two input
signals becomes constant and the corresponding frequencies become equal. The
phase frequency detector (PFD) generates an error pulse for a phase difference
between the two signals. This error signal is often amplified and converted into an
analog signal by a charge pump. The analog output of the pump is passed onto the
loop filter which suppresses the high frequencies, enabling the DC component called
control voltage. This control voltage is the controlling input of the VCO which
determines the oscillation frequency of the VCO. The VCO changes its frequency to
accumulate enough phase for the PLL to achieve lock. The VCO output is fed back into
the PD for comparison.
SerDes TX
A Serializer/Deserializer (SerDes) transmitter (TX) is a component that
converts parallel data into a high-speed serial data stream for transmission
over a serial link. It is a crucial part of high-speed communication systems
and is commonly used in applications such as data transmission,
networking, and high-speed serial protocols.
Shubham Kumar
[email protected]
227
The SerDes TX performs the following key functions:
Shubham Kumar
[email protected]
228
SerDes RX
A Serializer/Deserializer (SerDes) receiver (RX) is a component that receives a high-speed
serial data stream over a serial link and converts it back into parallel data. It is a crucial
part of high-speed communication systems and is commonly used in applications such as
data transmission, networking, and high-speed serial protocols.
Shubham Kumar
[email protected]
229
7. Output Buffers: The SerDes RX includes output buffers that provide the necessary
voltage swing and current drive to transmit the parallel data to subsequent stages
or processing units.
SerDes channel
A SerDes channel refers to the communication channel over which the serialized data is
transmitted between a Serializer/Deserializer (SerDes) transmitter (TX) and receiver (RX).
It represents the physical medium or link through which the high-speed serial data is
transmitted.
The SerDes channel can take various forms depending on the application and
communication requirements. It may include different types of transmission media, such
as copper cables, backplanes, printed circuit board (PCB) traces, or fiber optic cables. The
characteristics of the channel, including its length, impedance, bandwidth, and noise
characteristics, have a significant impact on the overall performance and reliability of the
SerDes link.
The channel can introduce various types of impairments or distortions to the transmitted
signal, which can affect the quality of the received data. Some common impairments in a
SerDes channel include:
Shubham Kumar
[email protected]
230
4. Jitter: Jitter refers to the variation in the timing of signal transitions. It can be
caused by various factors, including clock mismatches, signal reflections, and noise.
Excessive jitter can lead to timing errors and impact the reliability of the data
transmission.
To ensure reliable data transmission over the SerDes channel, several techniques and
design considerations are employed, including:
SerDes channels are designed and optimized based on the specific requirements of the
communication system, including the desired data rate, distance, noise immunity, and
available transmission media. By carefully considering channel characteristics and
implementing appropriate techniques, reliable and high-speed data transmission can be
achieved in SerDes-based communication systems.
Video link:
1.Serdes: https://youtu.be/608TPRam3G8
Shubham Kumar
[email protected]
231
29. Buffer chips / Data buffer
Buffer chips, also known as data buffers or buffer amplifiers, are electronic
components used to improve the driving capability, signal integrity, and overall
performance of digital communication or data transfer systems. They are commonly
used in various applications, including data buses, memory interfaces, high-speed
communication interfaces, and digital systems with multiple devices.
The primary function of a buffer chip is to isolate the driving capability of a signal
source from the load it is driving. It provides a low-impedance output that can drive
capacitive loads or multiple inputs without significantly degrading the signal quality. By
providing a high-current, low-impedance output, buffer chips ensure that the
transmitted signals can reach their destination with minimal distortion, reduced
propagation delay, and improved noise immunity.
1. Signal Amplification: Buffer chips can amplify weak or low-voltage signals to ensure
reliable transmission over long distances or through high-capacitance loads. They
provide a higher voltage swing, helping to overcome the voltage drop and ensuring
that the received signals are within acceptable voltage levels.
2. High-Speed Data Handling: Buffer chips are designed to operate at high speeds,
allowing them to handle fast data rates without introducing signal degradation. They
minimize signal reflections, signal distortion, and inter-symbol interference, ensuring
accurate data transmission.
3. Fan-out Capability: Buffer chips have the ability to drive multiple loads
simultaneously without significant degradation in signal quality. They provide a low-
impedance output that can supply sufficient current to multiple devices or inputs,
enabling effective signal distribution across the system.
4. Voltage Level Translation: Buffer chips can perform voltage level translation,
allowing for interfacing between devices with different voltage requirements. They can
Shubham Kumar
[email protected]
232
convert signals from one voltage level to another, ensuring compatibility and proper
signal communication between different parts of a system.
5. Signal Conditioning: Buffer chips may incorporate signal conditioning features such
as slew rate control, edge sharpening, and input/output impedance matching. These
features help improve signal integrity, reduce signal reflections, and minimize signal
distortion.
6. ESD Protection: Many buffer chips include built-in electrostatic discharge (ESD)
protection circuits to safeguard the components and the overall system against ESD
events, preventing damage from electrostatic discharge.
Buffer chips come in various types, such as single-ended buffers, differential buffers,
and bidirectional buffers, depending on the specific application requirements. They
are available in different package types, such as through-hole packages and surface-
mount packages, to accommodate different system designs and assembly processes.
Overall, buffer chips play a crucial role in enhancing signal quality, enabling effective
data transmission, and improving the overall performance of digital communication
systems.
Shubham Kumar
[email protected]
233
30. Temperature sensor
A temperature sensor chip, also known as a temperature sensor integrated circuit (IC)
or temperature sensor module, is an electronic component designed to measure and
monitor temperature variations in a wide range of applications. These chips provide
accurate and reliable temperature readings, enabling temperature control,
monitoring, and thermal management in various systems and devices.
Temperature sensor chips are available in different types, each employing different
sensing principles. Here are a few commonly used temperature sensor chip types:
Shubham Kumar
[email protected]
234
temperature sensors are compact, low-power, and offer digital outputs, making them
suitable for integration into various electronic systems.
Temperature sensor chips can provide analog or digital output, depending on the
specific chip and application requirements. Analog output sensors generate a voltage
or current proportional to the measured temperature, while digital output sensors
typically utilize communication protocols such as I2C or SPI to provide temperature
readings directly in a digital format.
Shubham Kumar
[email protected]
235
31. Example of analog circuits
• DAC: This includes constant current source, amplifier using external Rset to
adjust full rang current and bias circuit.
• ADC: this includes comparator, amplifier, sample/hold switch, switching
capacitor and reference voltage resistor ladder.
• PLL: this includes VCO (delay stage) and charge pump (current mirror and
buffer/opamp)
• Bandgap: BJT, current mirror, bias circuit, differential amplifier and ratioed
resistor
• Operational Amplifier (Op-Amp) Circuit: Op-amps are widely used in analog
circuits for various applications, such as amplification, filtering, signal
conditioning, and mathematical operations. A basic op-amp circuit
configuration includes an inverting or non-inverting amplifier, summing
amplifier, difference amplifier, or integrator.
• Voltage Follower Circuit: A voltage follower, also known as a unity-gain
amplifier, produces an output voltage that is equal to the input voltage. It is
commonly used to provide impedance matching and buffering between
different stages of a circuit.
• Low-Pass Filter Circuit: A low-pass filter allows low-frequency signals to pass
through while attenuating high-frequency signals. It is used to remove noise or
unwanted high-frequency components from a signal.
• High-Pass Filter Circuit: A high-pass filter allows high-frequency signals to
pass through while attenuating low-frequency signals. It is commonly used to
eliminate DC offset or remove low-frequency interference from a signal.
• Band-Pass Filter Circuit: A band-pass filter allows a specific range of
frequencies, known as the passband, to pass through while attenuating
frequencies outside the passband. It is used to select or isolate a specific
frequency range from a signal.
Shubham Kumar
[email protected]
236
32. Wafer Level Packaging (WLP)
Wafer-level packaging (WLP) is an advanced semiconductor packaging technology that
aims to integrate the packaging process with the wafer fabrication process. It involves
packaging multiple semiconductor devices or integrated circuits (ICs) at the wafer level,
before they are separated into individual chips.
Shubham Kumar
[email protected]
237
What is System in Package?
Shubham Kumar
[email protected]
238
Security for Sip
Types
Shubham Kumar
[email protected]
239
Wire Bond
Bonding Techniques
Shubham Kumar
[email protected]
240
Flip Chip
Manufacturing Process
Shubham Kumar
[email protected]
241
What is a Silicon Interposer
Shubham Kumar
[email protected]
242
Interposer
Shubham Kumar
[email protected]
243
Advantages of the Silicon Interposer
Interconnection Types
Shubham Kumar
[email protected]
244
Overview
Shubham Kumar
[email protected]
245
Shubham Kumar
[email protected]
246
UBM – Under Bump Metallization
Package Materials
Shubham Kumar
[email protected]
247
Summary
Shubham Kumar
[email protected]
248
Shubham Kumar
[email protected]
249
Future
Video link:
1. https://youtu.be/WMQtD4hDHak
2. https://youtu.be/igIm17Odkms
Shubham Kumar
[email protected]
250
33. INDUSTRY EXAMPLE
Block diagram:
Shubham Kumar
[email protected]
251
Example of a chip level layout:
Shubham Kumar
[email protected]
252
Fig: chip level Layout view
Shubham Kumar
[email protected]
253
Fig: chip level Layout view
Shubham Kumar
[email protected]
254
Fig: chip level Layout view
Shubham Kumar
[email protected]
255
Fig: Bond-wire diagram of RF power switch
Shubham Kumar
[email protected]
256
Fig: Test PCB with mounted chip and other components
Shubham Kumar
[email protected]
257
LinkedIn profile link: - https://www.linkedin.com/in/shubham-kumar-4354b7135/
Shubham Kumar
[email protected]
258
3D view links of devices
1. Planar: https://skfb.ly/oGOKI
2. FinFET: https://skfb.ly/oGOLU
3. FDSOI: https://skfb.ly/oGOMr
4. GAAFET: https://skfb.ly/oGOMz
5. MBCFET: https://skfb.ly/oIPSz
3D view of Inverter
1. FinFET tech Inverter :- https://skfb.ly/oGOLU
2. planar tech Inverter :- https://skfb.ly/oGOLC
Shubham Kumar
[email protected]
259
Let’s have a cup of coffee
“THANK YOU”
Shubham Kumar
[email protected]
260
SHUBHAM KUMAR
Shubham Kumar
[email protected]
261