Untitled
Untitled
CMOS VLSI
Circuits
Design for Manufacturability
About the Authors
Sandip Kundu
Aswin Sreedhar
ISBN: 978-0-07-163520-2
MHID: 0-07-163520-3
The material in this eBook also appears in the print version of this title: ISBN: 978-0-07-163519-6,
MHID: 0-07-163519-X.
All trademarks are trademarks of their respective owners. Rather than put a trademark symbol after every
occurrence of a trademarked name, we use names in an editorial fashion only, and to the benefit of the trade-
mark owner, with no intention of infringement of the trademark. Where such designations appear in this
book, they have been printed with initial caps.
McGraw-Hill eBooks are available at special quantity discounts to use as premiums and sales promotions, or
for use in corporate training programs. To contact a representative please e-mail us at bulksales@mcgraw-
hill.com.
Information contained in this work has been obtained by The McGraw-Hill Companies, Inc. (“McGraw-
Hill”) from sources believed to be reliable. However, neither McGraw-Hill nor its authors guarantee the
accuracy or completeness of any information published herein, and neither McGraw-Hill nor its authors
shall be responsible for any errors, omissions, or damages arising out of use of this information. This work
is published with the understanding that McGraw-Hill and its authors are supplying information but are not
attempting to render engineering or other professional services. If such services are required, the assistance
of an appropriate professional should be sought.
TERMS OF USE
This is a copyrighted work and The McGraw-Hill Companies, Inc. (“McGrawHill”) and its licensors re-
serve all rights in and to the work. Use of this work is subject to these terms. Except as permitted under the
Copyright Act of 1976 and the right to store and retrieve one copy of the work, you may not decompile,
disassemble, reverse engineer, reproduce, modify, create derivative works based upon, transmit, distribute,
disseminate, sell, publish or sublicense the work or any part of it without McGraw-Hill’s prior consent. You
may use the work for your own noncommercial and personal use; any other use of the work is strictly pro-
hibited. Your right to use the work may be terminated if you fail to comply with these terms.
THE WORK IS PROVIDED “AS IS.” McGRAW-HILL AND ITS LICENSORS MAKE NO GUARAN-
TEES OR WARRANTIES AS TO THE ACCURACY, ADEQUACY OR COMPLETENESS OF OR RE-
SULTS TO BE OBTAINED FROM USING THE WORK, INCLUDING ANY INFORMATION THAT
CAN BE ACCESSED THROUGH THE WORK VIA HYPERLINK OR OTHERWISE, AND EXPRESS-
LY DISCLAIM ANY WARRANTY, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO
IMPLIED WARRANTIES OF MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE.
McGraw-Hill and its licensors do not warrant or guarantee that the functions contained in the work will
meet your requirements or that its operation will be uninterrupted or error free. Neither McGraw-Hill nor its
licensors shall be liable to you or anyone else for any inaccuracy, error or omission, regardless of cause, in
the work or for any damages resulting therefrom. McGraw-Hill has no responsibility for the content of any
information accessed through the work. Under no circumstances shall McGraw-Hill and/or its licensors be
liable for any indirect, incidental, special, punitive, consequential or similar damages that result from the
use of or inability to use the work, even if any of them has been advised of the possibility of such damages.
This limitation of liability shall apply to any claim or cause whatsoever whether such claim or cause arises
in contract, tort or otherwise.
To my late father Prof. Hari Mohan Kundu,
whose encouragement for pursuit of excellence still endures,
and to my mother Mrs. Pravati Kundu,
who has been a pillar of support and strength,
my loving wife Deblina and
my daughters Shinjini and Shohini
—Sandip
1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
Technology Trends: Extending Moore’s Law . . . . . . 1
Device Improvements . . . . . . . . . . . . . . . . . . . . . 3
Silicon on Insulator . . . . . . . . . . . . . . . . . . . . 4
Multigate Devices . . . . . . . . . . . . . . . . . . . . . 5
Nanodevices . . . . . . . . . . . . . . . . . . . . . . . . . 6
Contributions from Material Science . . . . . . . . . 7
Low-K and High-K Dielectrics . . . . . . . . . . 7
Strained Silicon . . . . . . . . . . . . . . . . . . . . . . . 9
Deep Subwavelength Lithography . . . . . . . . . . 10
Mask Manipulation Techniques . . . . . . . . . 12
Increasing Numerical Aperture . . . . . . . . . 14
Design for Manufacturability . . . . . . . . . . . . . . . . . . . 15
Value and Economics of DFM . . . . . . . . . . . . . . 15
Variabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
The Need for a Model-Based
DFM Approach . . . . . . . . . . . . . . . . . . . . . . . . 23
Design for Reliability . . . . . . . . . . . . . . . . . . . . . . . . . . 23
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
2 Semiconductor Manufacturing . . . . . . . . . . . . . . . . . 27
Introduction ................................. 27
Patterning Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
Photolithography . . . . . . . . . . . . . . . . . . . . . . . . . 29
Resist Coat . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
Preexposure (Soft) Bake . . . . . . . . . . . . . . . . 30
Mask Alignment . . . . . . . . . . . . . . . . . . . . . . 31
Exposure . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
Postexposure Bake (PEB) . . . . . . . . . . . . . . . 32
Development . . . . . . . . . . . . . . . . . . . . . . . . . 32
Hard Bake . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Etching Techniques . . . . . . . . . . . . . . . . . . . . . . . . 33
Wet Etching Techniques . . . . . . . . . . . . . . . . 33
Dry Etching Techniques . . . . . . . . . . . . . . . . 35
Optical Pattern Formation . . . . . . . . . . . . . . . . . . . . . . 36
Illumination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
Diffraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
vii
viii Contents
Imaging Lens . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
Exposure System . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Aerial Image and Reduction Imaging . . . . . . . . 48
Resist Pattern Formation . . . . . . . . . . . . . . . . . . . 51
Partial Coherence . . . . . . . . . . . . . . . . . . . . . . . . . 53
Lithography Modeling . . . . . . . . . . . . . . . . . . . . . . . . . 55
Phenomenological Modeling . . . . . . . . . . . . . . . 56
Hopkins Approach to Partially
Coherent Imaging . . . . . . . . . . . . . . . . . . 56
Resist Diffusion . . . . . . . . . . . . . . . . . . . . . . . 57
Simplified Resist Model . . . . . . . . . . . . . . . . 57
Sum-of-Coherent-Systems Approach . . . . 58
Fully Physical Resist Modeling . . . . . . . . . . . . . 59
Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
4 Manufacturing-Aware Physical
Design Closure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Introduction ................................. 103
Control of the Lithographic Process Window . . . . . 108
Resolution Enhancement Techniques . . . . . . . . . . . . 113
Optical Proximity Correction . . . . . . . . . . . . . . . 114
Subresolution Assist Features . . . . . . . . . . . . . . . 118
Phase Shift Masking . . . . . . . . . . . . . . . . . . . . . . . 120
Off-Axis Illumination . . . . . . . . . . . . . . . . . . . . . . 124
Contents ix
xiii
xiv Preface
Sandip Kundu
Aswin Sreedhar
This page intentionally left blank
Nanoscale
CMOS VLSI
Circuits
Design for Manufacturability
This page intentionally left blank
CHAPTER 1
Introduction
1
2 Chapter One
Oxide tox
SIMOX
Top gate
Source Drain
Channel region
Drain Drain
Gate
Fin Gate
Source Source
(a) (b)
1.1.1.3 Nanodevices
Nanodevices are created using materials other than silicon. These
materials are used to realize nonconventional devices capable of
mimicking the operation of a MOSFET. Not only are nanodevices an
order of magnitude smaller than conventional MOSFETs produced
today, they are also unique in terms of materials and the manufacturing
technology used. MOS transistors operate on the basis of movement of
charge carriers across the channel region, whereas the operation of
nanodevices is based on quantum mechanical principles. Nanodevices
can be classified, in terms of their working mechanism, as molecular
and solid-state devices. Molecular devices use a single molecule (or
a few molecules) as the switching device, and they can be as small as
1 nm.9 Examples include switches using catenanes10 or rotaxanes11 as
well as DNA-strand-based devices.12 Molecular computing systems
are highly sensitive to electrical and thermal fluctuations. They also
require large-scale changes to current design practices in order to
accommodate significantly higher failure rates and power constraints.
Solid-state nanodevices that have been investigated with an eye
toward forming logic circuits of reduced density include (1) carbon
nanotubes, (2) quantum dots, (3) single-electron transistors, (4)
resonant tunneling devices, and (5) nanowires. Without delving into
details of these devices, we list the underlying mechanism of
conduction in each. Carbon nanotubes and silicon nanowires are
further along in terms of manufacturing developments. These devices
use “ballistic transport” (i.e., the movement of electrons and holes are
Introduction 7
unhindered by obstructions) of charge carriers as the charge-
conducting mechanism. Quantum dots interact with each other based
on Coulomb forces but without actual movement of electrons or
holes.13 Resonant tunneling diodes exhibit negative differential
resistance characteristics when a potential is applied across the device,
so they can be used to build ultrahigh-speed circuitry.14,15 Finally,
single-electron transistors are three-terminal devices whose operation
is based on the “Coulomb blockade,” a quantum effect whereby the
gate voltage determines the number of electrons in a region.16
Nonconventional manufacturing techniques (i.e., not based on
lithography) are being used to reduce the cost of fabricating these
devices, but large-scale manufacturing is not yet practical.
W H ox
ID Cox V; Cox (1.1)
L tox
1E5
Tunneling current density (A/cm2)
1E-05
1E-10
1E-15
SiO2
1E-20
ZrO2
1E-25
HfO2
FIGURE 1.4 Gate oxide tunneling currents for three oxide types at different oxide
thicknesses.
Introduction 9
Stable on
Material ε Crystal structure silicon?
SiO2 3.9 Amorphous Yes
Si3N4 7.8 Amorphous Yes
Y2O2 15 Cubic Yes
TiO2 80 Tetragonal No
HfO2 25 Monoclinic, tetragonal Yes
Ta2O5 26 Orthorhombic No
Al2O3 9 Amorphous Yes
TABLE 1.1 High-K oxide materials with dielectric constants and silicon
substrate compatibility
R k1 (1.2)
NA
NA = n sin (1.3)
NA (1.5)
k1 Rhalf -pitch
1E4
Above Near Below
wavelength wavelength wavelength
Half-pitch/wavelength (nm)
3000
2000
1E3 1500
1000 500 DUV
600 λ = 248nm
193 157
g-line 400
350 λ = 193nm λ = 157nm
λ = 436nm 250 i-193
i-line 180 λ’= 133nm
1E2 130
λ = 365nm
90
65
45 32
λ= EUV 13.5nm
1E1
1980 1990 2000 2010
0.45
0.40
k1 (Half pitch)
0.35
0.30
k1 theoretical limit
0.25
90 nm 65 nm 45nm 32nm
0.20
2001 2003 2005 2007 2009 2011 2013
Year
Feature on Image in
mask resist
Mask with SRAF Image in resist
Original feature
SRAFs
OPCed Mask
(a) (b)
Chrome Mask 1
Glass Phase shifter
Mask 2
Electric field at mask
Substrate
Intensity at wafer
FIGURE 1.7 RET mask manipulation to improve pattern transfer: (a) optical
proximity correction; (b) SRAF insertion; (c) phase shift masking; (d) double
patterning.
14 Chapter One
Numerical aperture
Imaging system 1.4 1.5 1.6 1.7
parameter
Water High-index fluid
Immersion fluid
Reduction-Projection
Optics
Photoresist
Wafer
Wafer holder
Immersion liquid
Process
information Iterative
Modified design process
Transistor or layout
changes
Design DFM
database Box
Manufacturability
analysis results
Circuit parameter
variation, pointers to
changes
No DFM
Break-even
FIGURE 1.11 The value and economics of design for manufacturability (DFM).
1.2.2 Variabilities
Parametric variation has emerged as a major design concern. For
correct-by-construction methodology, circuit models need to be accurate
and model parameters need to be correct; otherwise, the behavior of
the design cannot be reliabily predicted before construction. In reality,
a design may vary from model parameters owing to variations in
manufacturing process parameters. Current designs may consist of
billions of transistors, so when these variations become large there is
always the possibility of circuit failure, which can significantly reduce
yield. Also, current design practice is to assume that the underlying
hardware continues to be correct during the product lifetime.
However, the relentless push for smaller devices and interconnects
has moved the technology closer to a point where this design
paradigm is no longer valid.24–27 For example, with the advent of 90-
nm technology, negative bias temperature instability (NBTI) became
a major reliability concern,28 since a pMOS device degrades
continuously with voltage and temperature stress. For nMOS devices
fabricated using 45-nm technology, positive bias temperature
instability (PBTI) is likewise becoming a concern.29 Windows XP
failure data compiled by Microsoft Research also points to increased
occurrences of hardware failures.30 According to ITRS, these problems
Introduction 19
are expected to worsen in future technologies,31 as designs are more
likely to experience failure due to what designers call PVT issues—
that is, process corner, voltage, and temperature issues.
Table 1.2 categorizes these variations from both a source and impact
point of view. Columns 1 and 2 form the first source and effect
relationship for variations in semiconductor manufacturing processes.
As mentioned previously, variations in the manufacturing process lead
to variations in the properties of the device and interconnect.
Manufacturing variations can be categorized as irregularities in
equipment and processing, such as in lithography, and chemical
processing. Other sources of variations include mask imperfections
caused during mask manufacturing, mask mishandling, tilting, and
alignment issues. Additional sources of variation are improper focal
position and exposure dose of the imaging system and variation in
photoresist thickness. Sources of device and interconnect variation
include such process steps as dopant implant onto the source, drain, or
channel regions of devices on the wafer and planarization of metal lines
and dielectric features during chemical-mechanical polishing (CMP).
The effects of such manufacturing variations are observed
through changes in the circuit parameters. The most important among
them are the parameters of the active devices, notably transistors
and diodes. Variations in circuit parameters have engendered several
modeling and analysis techniques that attempt to predict parameter
behavior after fabrication. Among physical features, circuit
0V
Design VT variation
+10% CD
variation
−10% CD
variation
Probability
Target CD
FIGURE 1.12 Design VT and delay variation due to change in critical dimensions (CD).
Introduction 21
these variations on electrical parameters, layout printability, and die
yield. The etching process is used to remove parts of the material not
covered by protective layers. It can lead to pattern fidelity issues
because wet, chemical, and plasma etch processes cannot be error-
free. The most important effects of etching problems are line edge
roughness (LER), which refers to the horizontal deviation of the
feature boundary, and line width roughness (LWR), which refers to
random deviation in width along the length of the polygon. One effect
of LER on transistor is changes in threshold voltage VT, as shown in
Figure 1.13.32 Fluctuation in dopan density also induces such variation
in VT. Figure 1.1433 illustrates three devices that have an equal number
of dopant atoms in the channel but have different VT values. Chemical-
mechanical polishing is used to planarize the wafer after deposition
45
15
Without LER
5
100 1000
Transistor width W (nm)
FIGURE 1.13 V T variation due to LER (produced with 45-nm gates using
predictive technology models).
of the metal and dielectric layer material. Pattern density on the wafer
causes CMP to create surface roughness, defined as vertical deviation
of the actual surface from an ideal one. Such changes in the surface
lead to focus changes during subsequent lithography steps, con-
tributing to further CD variation (see Figure 1.15).
Circuit operation can be affected by several sources other than
variation in manufacturing process and circuit parameters. For exa-
mple, environmental factors, which include supply voltage and
temperature variation, affect the amount of current that flows through
a device. Temperature has an effect on circuit reliability (i.e., aging).
Circuit reliability effects, such as electromigration, NBTI, and hot
carrier degradation, change interconnect and gate delays over time.
These effects are related to interconnect width and thickness, which
in turn depend on the effectiveness of patterning and CMP (res-
pectively). Thus, a link can be seen between physical design,
patterning structures in the surrounding regions, and the circuit
aging process.
At each step of the circuit realization process, CAD tools are used
to predict circuit performance. As the realization gets closer to the
transistor and physical levels, the model parameters become
progressively more accurate to better predict circuit performance.
Initial performance prediction models do not consider variation. In a
typical design environment, interconnect RC extraction may be based
on nominal process parameters, while transistor models may take
parametric variation into account. Subsequent to manufacturing, if
silicon fails to meet performance expectations, such unlisted variations
have been identified as sources of errors. Considering all the possible
sources of variations is an expensive proposition in terms of design
optimization and timely convergence of design. Thus, a company
must be constantly evaluating new techniques for its DFM arsenal.
Thickness (Angstroms)
434
418
404
389
20
18 20
16 18
16
14 14
12 12
10 10
8 8
6 6
4 4
Y (microns) 2 2 X (microns)
1.4 Summary
An effective DFM-DFR methodology provides early feedback to the
design during its nascent stage. In this chapter we introduced the
reader to current trends in the design of nanoscale CMOS and very
large-scale integration (VLSI) circuits, explaining the various changes
that have been incorporated toward the end of achieving the two
principal goals of higher performance and lower power consumption.
We also provided a brief overview of new device structures in the
22-nm technology node that have been touted as replacements for
traditional MOSFET devices. We discussed the role of material science
Introduction 25
and optics in improving device operation, printability, and design
reliability. Also discussed were the applicability of DFM in the
presence of process and design parameter variability as well as the
process of integrating design and manufacture. We examined the
need for newer, model-based DFM methodologies given the use of
subwavelength lithography and higher density of layout patterns.
Finally, we mentioned some important reliability concerns in
nanoscale CMOS VLSI design and described the DFR-based CAD
tools that can help increase the anticipated lifetime of designs. In
short, we have described the trends in technology and the rising
importance of DFM and DFR.
References
1. “Lithography,” in International Technology Roadmap for Semiconductors Report,
http://www.itrs.net (2007).
2. K. Bernstein and N. J. Rohrer, SOI Circuit Design Concepts, Springer, New York,
2000.
3. K. K. Young, “Analysis of Conduction in Fully Depleted SOI MOSFETs,” IEEE
Transactions on Electron Devices 36(3): 504–506 (1989).
4. D. Hisamoto, T. Kaga, Y. Kawamoto, and E. Takeda, “A Fully Depleted Lean-
Channel Transistor (DELTA)—A Novel Vertical Ultra-Thin SOI MOSFET,”
in Technical Digest of IEEE International Electron Device Meeting (IEDM), IEEE,
Washington, DC, 1989, pp. 833–836.
5. X. Huang, W. C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski, E. Anderson,
et al., “Sub-50nm FinFET:PMOS,” in Technical Digest of IEEE International
Electron Device Meeting (IEDM), IEEE, Washington, DC, 1999, pp. 67–70.
6. R. S. Chau, “Integrated CMOS Tri-Gate Transistors: Paving the Way to Future
Technology Generations,” Technology @ Intel Magazine, 2006.
7. F. L. Yang, D. H. Lee, H. Y. Chen, C. Y. Chang, S. D. Liu, C. C. Huang, T. X. Chung,
et al., “5 nm-gate nanowire FinFET”, in Technical Digest of IEEE Symposium on
VLSI Technology, IEEE, Dallas, TX, 2004, pp. 196–197.
8. B. Doyle, B. Boyanov, S. Datta, M. Doczy, J. Hareland, B. Jin, J. Kavalieros, et al.,
“Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout,”
in Technical Digest of IEEE Symposium on VLSI Technology, IEEE, Yokohoma,
2003, pp. 133–134.
9. K. Sandeep, R. Shukla, and I. Bahar, Nano, Quantum and Molecular Computing:
Implications to High Level Design and Validation, Springer, New York, 2004.
10. C. P. Collier, G. Mattersteig, E. W. Wong, Y. Luo, K. Beverly, J. Sampaio,
F. M. Raymo, et al., “A Catenana-Based Solid State Electronically Reconfigurable
Switch,” Science 289(5482): 1172–1175, 2000.
11. W. R. Dichtel, J. R. Heath, and J. F. Stoddart, “Designing Bistable [2]Rotaxanes
for Molecular Electronic Devices,” Philosophical Transactions of the Royal Society
A: Mathematical Physical and Engineering Sciences 365: 1607–1625, 2007.
12. N. B. Zhitenev, H. Meng, and Z. Bao, “Conductance of Small Molecular
Junctions,” Physical Review Letter 88(22): 226801–226804, 2002.
13. C. S. Lent, P. D. Tougaw, and W. Porod, “Quantum Cellular Automata: The
Physics of Computing with Arrays of Quantum Dot Molecules,” in Proceedings
of Physics and Computation, IEEE, Dallas, TX, 1994, pp. 5–13.
14. J. P. Sun, G. I. Haddad, P. Mazumder, and J. N. Schulman, “Resonant Tunneling
Diodes: Models and Properties,” Proceedings of the IEEE 86(4): 641–660, 1998.
15. K. Ismail, B. S. Meyerson, and P. J. Wang, “Electron Resonant Tunneling in Si/
SiGe Double Barrier Diodes,” Applied Physics Letters 59(8): 973–975, 1991.
16. K. K. Likharev, “Single-Electron Devices and Their Applications,” Proceedings
of the IEEE 87(4): 606–632, 1999.
26 Chapter One
2.1 Introduction
The art of manufacturing an integrated circuit involves various stages
of physical and chemical processing of the semiconductor wafer (i.e.,
substrate). The major processing steps are oxidation, patterning, etching,
doping, and deposition. An integrated circuit is obtained by repetitive
processing of the wafer through these steps in a given sequence. Silicon
is now the dominant material used in high-volume semiconductor
manufacturing. However, the basic steps discussed in this chapter are
applicable to other types of compound integrated circuits that use
germanium, gallium arsenide, or indium phosphide substrates. The
two basic goals of semiconductor manufacturing today are:
27
28 Chapter Two
Resist coat
Preexposure bake/soft
bake
Mask alignment
Photolithography Exposure
Postexposure bake
(PEB)
Develop
Hard bake
Resist strip
Etching
Material removal through
wet/dry etching
2.2.1 Photolithography
Lithography, which was invented near the end of the eighteenth
century, is the process of printing patterns on a planar surface such as
a smooth stone or metal plate. The term lithos means stone, and grapho
means to write or print. Photolithography is a form of lithography in
which light sources are used to transfer the patterns that are present
on the mask (the smooth surface) onto the wafer (the plate).
Semiconductor manufacturing uses the photolithography technique
for printing abstract design patterns. A brief description of each step
in the photolithography process follows.
30 Chapter Two
Photoresist
nozzle
Uniform thickness
photoresist
Photoresist
Oxide coated
wafer
Vacuum
chuck
FIGURE 2.3 A bare silicon wafer mounted on a vacuum chuck and ready to be
coated with photoresist (Courtesy of Center for Hierarchical Manufacturing,
University of Massachusetts, Amherst.)
2.2.1.4 Exposure
The exposure stage involves the actual transfer of mask patterns onto
the photoresist-coated wafer. Photoresist is a material that undergoes
a chemical reaction in response to incident light. Positive and negative
photoresist undergo different chemical reactions: a positive photoresist
starts to become soluble in the presence of light, but the opposite
happens with a negative photoresist. Patterns on the mask are either
transparent or opaque. Light passes through transparent regions and
falls on the photoresist while the opaque regions block light from
passing through. Over the past four decades, exposure systems have
changed to ensure higher resolution, fewer defects, and smaller
exposure times. Different exposure systems and their impact on
resolution and contrast are discussed in the next section. One other
issue that sometimes arises after exposure is the effect of reflected
light waves on the sides of the photoresist. As light waves pass
through the photoresist, some of them reflect off the base of the resist
layer and form patterns—called standing waves—on the sidewalls.
Bottom antireflection coating (BARC) is applied during the photoresist
coat stage in order to reduce the reflectivity of the resist surface.
2.2.1.6 Development
Immediately after exposure, a developer solution is sprayed onto the
wafer to aid in the process of removing the regions exposed by light
(positive resist). Developers are typically water-based solutions.
Because the characteristics of the resist-developer interaction
determine the shape of the resultant photoresist, development is a
crucial aspect of photolithography. Spinning, spraying, and puddle
development are techniques that are used to develop the exposed
resist. The flow of the developer solution determines the speed and
effectiveness of the resist diffusion.
Semiconductor Manufacturing 33
2.2.1.7 Hard Bake
A final baking occurs after development in order to solidify the resist
for subsequent fabrication stages. Baking at a high temperature (150
to 200°C) ensures cross linking of the resist polymer, which is
required for thermal stability. The hard bake also removes solvents,
liquids, and gases to optimize the resulting surface’s adherent
characteristics.
Si substrate Si substrate
(a) (b)
FIGURE 2.4 Etching profiles obtained for (a) isotropic etching and (b) anisotropic
etching.
34 Chapter Two
TABLE 2.1 Common Barrier Layers and Their Respective Etchant Solutions
Diffusion of
etchant
Electrode 2
Plasma
V
Wafers
Electrode 1/
Wafer holder V
Gas Gas
(a) (b)
FIGURE 2.6 Dry etching techniques: (a) plasma etching; (b) reactive ion etching
using asymmetric fields.
TABLE 2.2 Commonly Used Gases in Plasma and Reactive Ion Etching
Processes
36 Chapter Two
ions to the surface in order to physically knock atoms off the barrier
material. Anisotropic etching can be ensured by targeting the ions
that are perpendicular to the wafer surface. However, the ion milling
process is limited by poor selectivity.
Reactive ion etching (RIE) combines chemical- and momentum-
based methods. In RIE, plasma systems ionize the gases used in the
vacuum chamber, which are then accelerated to the wafer by means
of an asymmetric field applied to the wafer (see Figure 2.6(b)). Because
it combines the two types of dry etching, RIE is better able to achieve
the required anisotropy and sensitivity.
Photolithography and etching are the fundamental stages at
which patterns are transferred and defined. In fact, defects are bec-
oming increasingly dependent on the effectiveness of the pattern
transfer process. It is therefore important to model the patterning
process in order to produce mask patterns that are resilient to any
errors in the process. The following sections will discuss the details of
modeling and simulation with a lithographic system.
Light source
Illumination system
J(f,g)
Condenser lens
Mask
Mt (f,g)
Intensity profile
I(f,g)
2.3.1 Illumination
Choosing the proper light source is a function of the type of patterns
being printed, the resolution required for the system, and the proper-
ties of the lens system. The power and wavelength of the light source
are the fundamental criteria on which the choice of a light source is
38 Chapter Two
1.00
0.80
0.60
Intensity
0.40
0.20
0.00
200 300 400 500 600
Light source wavelength (nm)
Reticle/mask
Condenser lens
Light source
Focal length
FIGURE 2.10 Köhler illumination: placing the light source at the front focal
plane of the condenser lens ensures uniform light directionality.
Dipole Quasar
FIGURE 2.11 Types of illumination sources; the outer circle forms a support
region of unit radius.
40 Chapter Two
2.3.2 Diffraction
Diffraction is the foremost phenomenon in projection imaging. The
word “diffraction” originated in the 1600s from the published work
of Grimaldi, who defined it as a general characteristic of waves that
occurs whenever a portion of the light wavefront is obstructed in
some way. This phenomenon is also referred to as the “deviation” of
light from a rectilinear path. The Dutch scientist Christian Huygens
proposed, in his 1690 work entitled Treatise on Light, that each point
on a light wavefront be considered as a source of secondary spherical
wavelets: at each point, a new wavefront can be constructed by
superposition of all the waves at that point. Figure 2.128,9 is a simplified
illustration of the so-called Huygens principle that shows the
formation of spherical wavelets and the phenomenon of diffraction
through bending of light. However, the Huygens principle did not
take the wavelength (λ) of light into consideration, and neither could
it explain the phenomenon of different phases of the wavelets.
In 1882, Gustav Kirchhoff formulated an equation for the
diffraction pattern based on the condition that the wavelets must
satisfy Helmholtz’s equation and the conservation of energy.10,11
Kirchhoff proposed that, if the distance from the mask to the image
θMIN
d Z
Aperture
Shadow Kirchhoff diffraction Fresnel diffraction Fraunhofer diffraction
region region region
(z > λ/2) (z >> w) (z >> πw2/λ)
r
dy Q
R
w ϕ
x
where k is the wave number and ω is the angular frequency. The field
dE at any point Q at a distance r due to an infinitesimally small region
dy of the slit depends on the source strength per unit length sL and the
distance R from mask to image plane:
sL
dE sin(kr t) dy (2.2)
R
where r is the distance between the infinitesimal slit and the image
plane. The term r can be expanded by using the Maclaurin series in
terms of R, y, and φ (i.e., the angle made by the line from the slit’s
center to the imaging point).9 The first-order approximation yields
Now considering the entire vertical slit width, the electric field is
given by the integral,
³
sL
E sin ª¬k R y sin t º¼ dy (2.4)
R
w
which leads to
sL w sin ª¬ kw 2 sin º¼
E sin kR t (2.5)
R kw 2 sin
Semiconductor Manufacturing 43
Now the electric field can be written as
sL § sin ·
E ¨
R© ¹
¸ sin kR t ; kw 2 sin (2.6)
I E2 (2.7)
t
2
1 § sL w · § sin ·
2
I ¨ ¸ ¨ ¸ (2.8)
2© R ¹ © ¹
2
I sin z
= 1.0
I0 z
ζ
−3π −2π −π π 2π 3π
FIGURE 2.16 Formation of the Fraunhofer diffraction pattern from a single slit.
Semiconductor Manufacturing 45
Mask
1
mt (x)
Mask 0
transmittance
Diffraction Mt (f)
pattern E-field
FIGURE 2.17 Mask pattern, its transmission function, and the diffraction
pattern E-field.
then the electric field of the diffraction pattern for the mask
transmission function mt(x, y) is given by the Fraunhofer integral:
³³ m (x, y) . E (x, y) e
2 i ( fx gy )
Mt ( f , g ) t j dxdy (2.9)
Aperture A(f,g)
Mask Mt(f,g)
umax
NA
°°1, f g
2 2
A( f , g ) ® (2.11)
°0, f 2 g 2 ! NA
°̄
DOF k2 (2.13)
NA 2
z
R 3 (2.14)
8
Source image
Condenser
lens
Mask
Gap w
Wafer – Si substrate
SiO2/ Si3N4 Objective
lens
(a) (b)
(c)
§ z·
R 3 ¨w ¸ (2.15)
4© 2¹
where w is the gap between the wafer and the photomask. The highest
resolution that could be achieved with a 450-nm light source and a
very small gap of 10 μm was 3 μm. Diffraction issues due to the gap
limited the use of proximity printing, and projection printing has
been employed ever since.
The two techniques used to expose the mask in projection
lithography are the scanner approach and the stepper approach (see
Figure 2.20). The scanning technique projects a slit of light onto the
wafer while the mask and the wafer are being moved across it. The
exposure dose depends on the slit width, resist thickness, and speed
of mask and wafer movement. The stepper projects a rectangular
region, called a field, for one exposure step at a time. The field region
is a function of the mask size, exposure dose, and required throughput.
This stepper technique can be used to perform reduction imaging,
described in the next section.
Mask
Objective/reduction
lens system
Photoresist coated
wafer
FIGURE 2.20 Set-up for reticle exposure: scanner (left) and reduction stepper
(right).
Condenser lens
4x Required image
Reticle
Reduction (4:1)
lens system
1x Required image
⌬ CD mask
⌬ CD wafer MEEF * (2.18)
MD
1
␥ (2.19)
ln ED l ln ED h
(see Figure 2.23), where ED+l is the exposure dose below which there
is no resist development, and ED+h is the exposure dose above which
the resist is completely consumed (i.e., exposed region). A similar
52 Chapter Two
intensity
resist, where Dr is the resist thickness
on the wafer; (b) light rays passing
through chrome-free regions and
reflecting off the resist-wafer interface;
(c) the reflected rays create standing
waves in the resulting resist profile. 0 20 40 60 80 100 Dr
Depth in resist (nm)
(a)
Resist
Dr
Oxide
Substrate
(b)
Standing waves
θ
?
(c)
devmax
Resist thickness
devmin
ED+l ED+h
Exposure strength (log scale)
+ +
0 0
− −
Fully coherent imaging Oblique illumination
(a) (b)
+
0
−
Partially coherent imaging
(c)
FIGURE 2.24 Intensity profiles for different imaging techniques; panel (c) shows
the broadening of an intensity profile in response to incident rays from different
angles.
Exit Entrance
pupil pupil
p
s
Image of
Conventional
Mask source
light source
patterns
Condenser Objective
Resist-
lens lens
coated
wafer
n sin
(2.20)
NA
R k1 (2.21)
NA(1 )
s Source diameter
(2.22)
p Pupil diameter
³³ A(k kc)M (k )e
2 i ( k k c ) x
E( x, k ) t dk (2.23)
TCC(k, kcc)
³³ S(k )A(k kc)A (k kcc) d k
2
(2.25)
Semiconductor Manufacturing 57
where S(k) is the source shape function. The intensity value obtained
in Eq. (2.24) is also known as the pre-PEB latent image.
^
D(k, kc) exp 2 2 d 2 (k 2 kc2 ) ` (2.26)
I ( x; k, kcc)
³³ TCC w /. diff (k, kcc)Mt (k )Mt
(kcc)e 2 i ( k k cc) x dk dkcc (2.28)
Mask
Resist on
wafer
FIGURE 2.26 Using aerial image intensity threshold to estimate the edge
location.
TCC ¦
u
m m (k ) . m
(k ) (2.30)
where the ζ are eigenvalues and the φ(k) are frequency components
of eigenvectors, or imaging system kernels. The aerial image intensity
is given as
I (r) ¦
u
m
. ( m (k, kc) * * Mt (k, kc))2 (2.31)
dI
␣I (2.32)
dz
2.5 Summary
In this chapter we looked into the various stages involved in
fabricating an integrated circuit. We chiefly concentrated on two
important processes that control the formation of patterns on wafer:
photolithography and etching. The photolithography process was
explained to help the reader understand the steps required to form
the pattern on the wafer. Details of the optical imaging system’s
components and of the parameters that control the final pattern were
discussed. We described lithography modeling in some depth because
it has become an important constituent of many model-based DFM
methodologies. Two types of modeling were analyzed, pheno-
menological and physics-based (“fully physical”) modeling. We also
demonstrated how the aerial image is formed above the wafer and
how photoresist models respond to different values of light intensity.
Understanding the fundamentals of pattern formation above and on
Semiconductor Manufacturing 61
the resist, as explained in this chapter, is required for modeling
process variations and tying them to device and interconnect
parameters.
References
1. M. Madou, Fundamentals of Microfabrication, CRC Press, Boca Raton, FL, 1997.
2. R. C. Jaeger, Introduction to Microelectronic Fabrication, Prentice Hall, Englewood
Cliffs, NJ, 2002.
3. W. R. Runyon and K. E. Bean, Semiconductor Integrated Circuit Processing
Technology, Addison-Wesley, Reading, MA, 1990.
4. E. C. Kintner, “Method for the Calculation of Partially Coherent Imagery,”
Applied Optics 17: 2747–2753, 1978.
5. M. E. Dailey et al., “The automatic microscope,” MicroscopyU, http://www.
microscopyu.com/articles/livecellimaging/automaticmicroscope.html.
6. A. K. Wong, Optical Imaging in Projection Microlithography, SPIE Press,
Bellingham, WA, 2005.
7. J. W. Goodman, Introduction to Fourier Optics, McGraw-Hill, New York, 1968.
8. H. H. Hopkins, “On the Diffraction Theory of Optical Images,” Proceedings of
the Royal Society of London, Series A 217: 408–432, 1953.
9. E. Hecht, Optics, Addison-Wesley, Reading, MA, 2001.
10. M. Born and E. Wolf, Principles of Optics, Pergamon Press, Oxford, U.K., 1980.
11. C. A. Mack, Fundamental Principles of Optical Lithography: The Science of
Microfabrication, Wiley, New York, 2008.
12. G. B. Airy, “On the Diffraction of an Object-Glass with Circular Aperture,”
Transactions of Cambridge Philosophical Society 5(3): 283–291, 1835.
13. Lord Rayleigh, “Investigations in Optics, with Special Reference to the
Spectroscope,” Philosophical Magazine 8: 261–274, 1879.
14. S. M. Sze (ed.), VLSI Technology, McGraw-Hill, New York, 1983.
15. A. K. Wong, Resolution Enhancement Techniques in Optical Lithography, SPIE
Press, Bellingham, WA, 2001.
16. “Lithography,” in International Technology Roadmap for Semiconductors Report,
http://www.itrs.net (2007).
17. H. Ito, “Chemical Amplification Resists: History and Development within
IBM,” IBM Journal of Research and Development 341(1/2): 69–80, 1997.
18. H. H. Hopkins, “The Concept of Partial Coherence in Optics,” Proceedings of the
Royal Society of London, Series A 208: 263–277, 1951.
19. M. D. Smith, J. D. Byers, and C. A. Mack, “A Comparison between the Process
Windows Calculated with Full and Simplified Resist Models,” Proceedings of
SPIE 4691: 1199–1210, 2002.
20. N. N. Matsuzawa, S. Mori, E. Yano, S. Okazaki, A. Ishitani, and D. A. Dixon,
“Theoretical Calculations of Photoabsorption of Molecules in the Vacuum
Ultraviolet Region,” Proceedings of SPIE 3999: 375–384, 2000.
21. N. N. Matsuzawa, H. Oizumi, S. Mori, S. Irie, S. Shirayone, E. Yano, S. Okazaki,
et al., “Theoretical Calculation of Photoabsorption of Various Polymers in the
Extreme Ultraviolet Region,” Japan Journal of Applied Physics 38: 7109–7113,
1999.
22. K. Shimomure, Y. Okuda, H. Okazaki, Y. Kinoshita, and G. Pawlowski, “Effect
of Photoacid Generators on the Formation of Residues in an Organic BARC
Process,” Proceedings of SPIE 3678: 380–387, 1999.
23. M. K. Templeton, C. R. Szmanda, and A. Zampini, “On the Dissolution Kinetics
of Positive Photoresists: The Secondary Structure Model,” Proceedings of SPIE
771: 136–147, 1987.
24. F. H. Dill, “Optical Lithography,” IEEE Transactions on Electron Devices 22(7):
440–444, 1975.
25. R. Hershel and C. A. Mack, “Lumped Parameter Model for Optical Lithography,”
in R. K. Watts and N. G. Einspruch (eds.), Lithography for VLSI, Academic Press,
New York, 1987, pp. 19–55.
62 Chapter Two
3.1 Introduction
The most important concern today for design and process engineers
alike is the increasing impact of parameter variation in semiconductor
manufacturing. The percentage of parameter variations have
increased drastically from 10 percent in 250-nm technology node to
around 50 percent in 45-nm technology.1 There is always a certain
amount of variation in any manufacturing process. The degree of
variability that can be tolerated is often provided with the product
specification, and any variation exceeding it will lead to a low-yield
process. Parameter variations can be classified into different categories
based on process purpose, region of correlation, and behavior.
The basic steps in semiconductor manufacturing involve geo-
metric patterning to create devices such as transistors, diodes, and
capacitors and then connecting those devices using wires (metal
interconnects). Photolithography is central to patterning that creates
devices and wires. Creating a device involves poly or metal gate
patterning, oxidation to create gate oxide, a development process,
and introducing source and drain impurities via diffusion or ion
implantation. Lithography is also used to pattern interconnect metals.
Variations in patterning process are chiefly due to problems with
projection lithography. As the feature width of patterns printed in the
wafer have become less than a quarter of the wavelength of the light
source, diffraction-induced printability variations have become
highly prevalent. Other than the inherent resolution and contrast
problems, further variations are caused by defocus and lens
aberrations in the imaging system. These variations affect the patterns
being printed, including gate and interconnect features.
63
64 Chapter Three
0.4
VT (V)
0.3
0.2
0.1
0.0
0.02 0.03 0.04 0.05
Lgate (μm)
P r o c e s s a n d D e v i c e Va r i a b i l i t y : A n a l y s i s a n d M o d e l i n g 65
n+ n+
IGCS IGCD
IGB
VB = 0
Year of production
2001 2002 2003 2004 2005 2006 2007 2008 2009
Tech. node (commercial, not 130 90 65 45 30
ITRS)
DRAM 1/2 pitch (nm) 130 115 100 90 80 70 65 57 50
MPU 1/2 pitch (nm) 150 130 107 90 80 70 65 57 50
Printed gate 90 75 65 53 45 40 35 32 28
Physical gate (postetch) 65 53 45 37 32 28 25 22 20
tox Thickness control, EOT (% 3σ) <+4% <+4% <+4% <+4% <+4% <+4% <+4% <+4% <+4%
Lgate 3σ var. (nm) WiW, W2W, L2L 6.31 5.3 4.46 3.75 3.15 2.81 2.5 2.2 2
Lgate 3σ var. as % of Physical gate 10% 10% 10% 10% 10% 10% 10% 10% 10%
Total max allowable litho 3σ 5.51 4.33 3.99 3.35 2.82 2.51 2.24 1.97 1.79
Total max allowable etch 3σ 3.64 3.06 1.99 1.88 1.41 1.26 1.12 0.98 0.89
including resist trim & gate etch
CD bias: dense & isolated lines ≤15% ≤15% ≤15% ≤15% ≤15% ≤15% ≤15% ≤15% ≤15%
80
Nominal yield (%)
Photolithography Design
70 induced errors related
60
Design/layout
50 based errors
40
30
20
10
180 130 90 65 45 32
Semiconductor technology nodes (nm)
50
Vth
45 tox
Leff
Parameter variation %
40 Linewidth W
35
30
25
20
15
10
5
250 180 130 90 65 45
Technology generation (nm)
Standing Defocus
waves
Profile
Same base
height width
Sidewall
angle θ
Base width w
(a) (b) (c)
FIGURE 3.5 Assessing critical dimension (CD): (a) parameters controlling CD;
(b) standing wave formation due to reflections from the resist-substrate
interface; (c) varying resist profile with equivalent width at different focus.
w 2 1 w 2 (3.1)
wx 2 v 2 wt 2
72 Chapter Three
ψ1 ψ1
A1 A1
1 2
−A1
ψ2 ψ2 A
A2 3 2
2
−3
ψtot A = A1 + A2
3 ψtot A = A2 + (−A1)
1
−1
(a) (b)
where ψ(x, t) represents the wave and v the wave’s velocity. Now,
according to the principle of superposition, if two waves ψ1 and ψ2
propagate in the same direction, then their amplitudes are additive.
The new wave ψtot is given by
w 21 1 w 21 w 2 2 1 w 2 2
(wave 1) (wave 2)
wx 2 v 2 wt 2 wx 2 v 2 wt 2
(3.2)
w 1 2
2
1 w 1 2
2
w 2 tot 1 w 2 tot
wx 2 v2 wt 2 wx 2 v 2 wt 2
CD Spacing
Pitch
(a) (b)
70
600
200 300 400 500 600 700 800 900 1000
Feature width (nm)
Resist feature width, CD (nm)
70
60
50
40
30
20
10
0
100 200 300 400 500 600 700 800 900
Feature width (nm)
3.2.1.2 Defocus
Defocus is defined as the difference between the focal position in
the resist on wafer and its position at target focus. Focus of the
exposure system depends on the light source and the reduction lens
system used as well as the thickness of the resist on the wafer.
Defocus leads to blurring of the image being printed on the wafer.
Variation in focus causes linewidth variation due to improper
pattern formation on the wafer. The impact of defocus on linewidth
variation for patterns at different pitches is systematic and can be
modeled. The printed linewidth for pitch variation and defocus is
shown using a Bossung plot (see Figure 3.10).12 The Bossung plot
has a “smile” and a “frown” feature that is associated with the
change in linewidth.11,12 Highly dense features tend to have increased
P r o c e s s a n d D e v i c e Va r i a b i l i t y : A n a l y s i s a n d M o d e l i n g 75
90
80
70
Linewidth (nm)
60 Pitch
140
150
50 190
250
350
40 400
30
−0.15 −0.1 −0.05 0 0.05 0.1 0.15
Defocus (um)
FIGURE 3.10 Linewidth change due to through-pitch variation with defocus for
various pitch configurations (Bossung plot).
Objective lens
Defocus
Defocus
Plane of
focus
Photoresist
SiO2
Si substrate
Snell’s law. Consider the ray diagram of Figure 3.12.14 For that diagram,
Snell’s law states that
ur
ui
ut
S ni nt C P
3 5 7 (3.4)
s in ...
3! 5! 7!
In any case, at least the first two terms need to be included when
considering rays away from the axis. A incident angles of light rays
are higher than the first-order Taylor series approximation of sin θ,
Because not all the incident light rays are focused at the same focal
point. This brings the third-order theory into the picture leading to
the creation of primary aberrations as shown in Figure 3.13. The
difference in the focal positions of incident rays causes focus variation.
Spherical aberration
(a)
Coma
(b)
Q
Focus for horizontal
Point on mask
P patterns
(c)
FIGURE 3.13 Defocus due to lens aberration: (a) spherical aberration; (b) coma;
(c) astigmatism.
78 Chapter Three
The optical path difference (OPD) for each incident beam is defined as
the difference in optical path between the current beam and the zero-
diffraction beam that passes through the optical axis. This focal
variation is termed lens aberration, which leads to blurring of the
image on a wafer.
There are multiple sources of aberration in a lens, as shown in
Figure 3.13. Lens aberrations can be classified into two types:
chromatic and monochromatic.14,15 Chromatic aberrations are caused by
dispersion of light due to variation in the lens refractive index for
constituent wavelengths of light. Longitudinal and transverse
aberrations are examples of chromatic aberration, which are not seen
when a monochromatic light is used. Monochromatic aberrations that
can cause defocus include piston, tilt, spherical, coma, and astigmatism
aberrations. Piston and tilt aberrations do not model a curvature in
the wavefront and hence do not affect the image; they simply cause a
small shift in position. The defocus due to piston and tilt aberrations
is seldom significant. Spherical aberration causes variation in the
position of focal planes of nonparaxial rays. Coma aberrations cause
variation in the focal position for rays that are incident at an angle to
the lens; these aberrations manifest as asymmetry in the image.
Astigmatism is the variation in focus as a function of orientation of
the image. This aberration causes shapes in different directions to
have relative defocus.
Aberrations can also be classified in terms of whether they are
due to (1) manufacturing; (2) the lens type; or (3) the design pat-
terns. Lens manufacturing variations are due to lens usage and can
be seen as imperfections on the lens surface, curvature, and/or com-
position. Improper usage of the lens (e.g., mishandling, incorrect
placement tilt) will lead to variations in the patterns formed. Aberra-
tions caused by the design are due to the orientation of patterns dur-
ing exposure.
A series of lenses is used to perform reduction of the mask image
onto the wafer. The step-and-scan approach used in projection
printing today scans regions horizontally in one exposure, moves to
another region for the next exposure, and so on repeatedly. The reg-
ion over which the exposure system scans the mask patterns onto
the wafer is called a lens field. It has been observed that aberration
across the lens field may induce variation based on feature position
with respect to the center of the lens. Since scanning proceeds hori-
zontally, this type of variation may not be observed on vertical
patterns. Because the field is small compared to the wafer, variation
within the field is considered to be inconsequential (see
Figure 3.14).16
Lens aberration causes defocus-induced variation in metal
interconnect and gate linewidth. All aberrations due to a lens can be
characterized by calculating the optical path difference of beams
traveling across the lens. The simplest method, proposed by Zernike,
P r o c e s s a n d D e v i c e Va r i a b i l i t y : A n a l y s i s a n d M o d e l i n g 79
FIGURE 3.14
Lens aberration Scan direction
causing CD
variation between
different lens
fields.
Wafer
Lens field ~ CD
is constant
OPD , ¦ s Z ,
k
x x
(3.5)
°Znm , Rnm cos m :odd
Zx , ® m
°̄Zn , Rn sin m :even
m
estimate the impact of lens aberration on the aerial image and resist
profiles of the patterns on the mask. Further details can be found in
the text by Born and Wolf.17
0.0030 30
lON
0.0025 IOFF * 1/1e-05
Vth 25
Vth variation (mV)
0.0020 20
Current (Amps)
0.0015 15
0.0010 10
0.0005 5
0 0
20 30 40 50 60 70 80 90 100 110
Gate length (nm)
FIGURE 3.15 Variation of ON current, OFF current, and Vth = V T with gate length
LG due to CD variation.
P r o c e s s a n d D e v i c e Va r i a b i l i t y : A n a l y s i s a n d M o d e l i n g 81
(a) (b)
FIGURE 3.16 Gate and diffusion contours: (a) drawn mask; (b) printed contour.
0.00016 3e-17
0.00014
3e-07
0.00012
2e-07
0.0001
Drawn-Ion
8e-05 Printer contour-Ion 1.5e-07
Drawn-Ioff
Printer contour-Ioff
6e-05
1e-07
4e-05
5e-08
2e-05
0 0
0 0.2 0.4 0.6 0.8 1
Vgs (volts)
FIGURE 3.17 Variation in drive and leakage currents of drawn and printed
contours.
Resist profile
I1 I2 ...IN
...
Gate
slices L1 L2 LN
ΔCD ≤ 10%
Gate
(b)
contour
Li
Li+1
EGL
Wi
Wi +1
(a) (c)
FIGURE 3.18 Modeling the nonrectangular gate (NRG): (a) slicing of gate resist
profile; (b) model suggesting a transistor for each slice; (c) single equivalent gate
model (EGL) for modeling ON and OFF operations of the device.
• Mask roughness
• Aerial image contrast
• Molecular structure of the resist
P r o c e s s a n d D e v i c e Va r i a b i l i t y : A n a l y s i s a n d M o d e l i n g 83
• Shot noise caused by absorption fluctuations between dif-
ferent locations
• Mixing of resist polymers
• Development processes
FIGURE 3.19
LER variation in
poly-gate patterns. LER
Wg
Lg
GATE
Poly Active
area
84 Chapter Three
350
300 LER
Gaussian fit
250
200
Number
150
100
50
0
170 175 180 185 190 195 200
Resist linewidth (nm)
3.0
Edge roughness (nm) in wafer
2.0
1.0
0.0
−1.0
LER
Simple model
−2.0
−3.0
0 100 200 300 400 500
Edge position (nm)
0.3
0.25
Normalized probability
0.2
0.15
0.1
0.05
0
< 100
100
300
500
700
900
1100
1300
1500
1700
1900
> 2100
Gate-poly
W L Diffusion
region
FIGURE 3.24 (a) Poly-gate width and length; (b) diffusion rounding of the gate
alters its width and length.
88 Chapter Three
Dopant aoms
a ac
K ae K
Pcube con ¦
a 0
K!
(3.6)
150
100
50
0
220 240 260 280 300 320 340 360
Threshold voltage VT
FIGURE 3.26 Statistical variation in V T as measured from various identical
MOSFETs in the die.
qtox N AWd
VT v (3.7)
H ox LGWG
0.035
0.030
0.025
VT variation (V)
0.020
0.015
0.010
0.005
0.000
0 2 4 6 8 10 12 14
1/√LgWg (μm)−1
cost. For these reasons, CMP is the planarization method most used
in industry today.
Chemical-mechanical polishing is a wafer planarization technique
that is widely used to satisfy local and global planarity constraints.42
Unlike the previously used SOG and REB approaches, CMP has been
the choice for multilevel metal and oxide planarization for VLSI
design processes. Figure 3.28 is a photograph of a metal polishing
station, and a simplified schematic is drawn in Figure 3.29.
A wafer is held upside down by a wafer holder using vacuum
suction. The holder presses the wafer onto a polishing pad that is
spun at a constant speed. At the same time, a chemical compound
known as slurry is applied continuously to the polishing pad. This
slurry is a chemical with suspended abrasive (aluminum and silica)
solids that interacts with the wafer to make it softer. The polishing
pad itself is also an abrasive surface, which aids in the material
removal process. The interaction of mechanical pressure, rotation,
and chemical abrasion leads to planarization of the wafer surface.
Copper CMP requires extra stages of planarization to remove barrier
layers.
In nano-CMOS VLSI circuit manufacturing, the quality of the
photolithography, etching, metallization, and other manufacturing
Polishing pad
Metal 2
Dielectric
Metal 1
Dielectric
(a)
Erosion
Dishing
Pre-CMP
dielectric level
Copper
Dielectric
(b)
function, which would cause the pad to heat up. The polishing pad
becomes soft when it gets hotter than the specified temperature, and
this increases its area of contact with the wafer. Such variations are
random in nature and are usually better controlled as the
manufacturing process matures. All CMP-induced variations that
depend on pattern density lead to change in interconnect capacitance
and resistances that directly affect the performance and reliability of
the design. The planarization length affects the region over which
neighboring features affect the CMP planarity.
Consequently, modeling CMP for oxide planarization or
metallization boils down to estimating the pad pressure and pattern
density.45 Several approaches have been suggested for estimating
post-CMP oxide thickness. A computationally manageable CMP
model was proposed by Stine and colleages; see Figure 3.31.42 This
model uses the following formula to estimate the interlayer dielectric
thickness z at a point on the wafer:
§ Kt · t 0 z1
° z0 ¨ ¸ ;
© 0 x, y ¹
° ¨ ¸ K
z ® (3.8)
° t ! 0 z1
° z0 z1 Kt 0 x, y z1 ;
¯ K
P r o c e s s a n d D e v i c e Va r i a b i l i t y : A n a l y s i s a n d M o d e l i n g 95
z1
z > z0−z1
z0
Metal
pattern
ª z º
°° «0 x, y : m m 1 x, y : m 1 » w x, y m ! 1
x, y : m ®¬ zm ¼ (3.9)
°
°̄ 0 x, y : m w x, y m 1
where zm and zm−1 denote the oxide thickness in the current and the
underlying metal layer, respectively. These values are constant for a
given process. The term ρ0(x, y : m) denotes the local pattern density
of the current metal layer, and ρ(x, y : m − 1) is the final pattern density
of the metal layer underneath. Equation (3.9) captures the effective
pattern density by considering the weighted value w(x, y) of pattern
densities in the stack below.
The focus and exposure dose of a particular metal patterning
stage depend on the thickness of the metal and oxide underneath. As
96 Chapter Three
FIGURE 3.32
Layout pattern Active Area
showing MOSFET
active and STI areas.
Gate STI
W L
STI
STI LOD
STI
Poly-
Gate
Source Drain Lateral
Longitudinal
CNL TNL
eSiGeeSiGe
eSiGe eSiGe
STI
PMOS
pMOS nMOS
pMOS nMOS
Lateral Tensile Tensile
Longitudinal Compressive Tensile
FIGURE 3.33 Effects of lateral and longitudinal stress on nMOS and pMOS
devices.
Gate terminal
Cavity/recess
eSiGe eSiGe
Recess depth
eSiGe
pMOS in series
FIGURE 3.34 Epitaxial growth of SiGe layer in cavities within the source and
drain regions of pMOS devices.
3.7 Summary
Variability in the process parameters for current and future CMOS
devices is of critical concern. In this chapter we have discussed
important sources of variations and their effects. A key observation is
that, even though manufacturing processes introduce variability, the
variations are a strong function of layout attributes such as pattern
size, orientation, density, nesting, and isolation. We also showed that
many components of the variation can be modeled in terms of layout
attributes. Such components are considered to be systematic, whereas
the unmodeled components are considered to be random. Thus,
design for manufacturability is an exercise in shaping layouts with
the purpose of improving manufacturing and parametric yield while
minimizing variations and unpredictability.
References
1. S. Nassif, “Delay Variability: Sources, Impacts and Trends,” in Proceedings
of International Solid-State Circuits Conference, IEEE, San Francisco, 2000,
pp. 368–369.
2. M. Chudzik et al., “High-Performance High-k/Metal Gates for 45nm CMOS
and Beyond with Gate-First Processing,” in Proceedings of VLSI Technology
Conference, IEEE, Kyoto, 2007, pp. 197–198.
3. S. B. Samaan, “The Impact of Device Parameter Variations on the Frequency
and Performance of VLSI Chips,” in Proceedings of International Conference on
Computer-Aided Design, IEEE, San Jose, CA, 2004, pp. 343–346.
4. S. Reda and S. Nassif, “Analyzing the Impact of Process Variations on
Parametric Measurements: Novel Models and Applications,” inProceedings of
Design Automation and Test in Europe, IEEE, San Francisco, 2009, pp. 373–379.
5. International Business Strategies (IBS) Report 2006, http://www.ibs.net/
6. S. Nassif, “Within-Chip Variability Analysis,” in Proceedings of IEEE Electron
Devices Meeting, IEEE, San Francisco, 1998.
7. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De,
“Parameter Variations and Impact on Circuits and Microarchitecture,”
in Proceedings of Design Automation Conference, IEEE, Anahiem, CA, 2003,
pp. 338–342.
8. C. A. Mack, Fundamental Principles of Optical Lithography, Wiley, New York,
2008.
9. R. Socha, M. Dusa, L. Capodieci, J. Finders, F. Chen, D. Flagello, and
K. Cummings, “Forbidden Pitches for 130nm Lithograph and Below,”
Proceedings of SPIE 4000: 1140–1155, 2000.
10. S. Kundu, A. Sreedhar, and A. Sanyal, “Forbidden Pitches in Sub-Wavelength
Lithography and Their Implications on Design,” Journal of Computer-Aided
Materials Design 14: 79–89, 2007.
11. D. G. Flagello, H. Laan, J. B. Schoot, I. Bouchoms, and B. Geh, “Understanding
Systematic and Random CD Variations Using Predictive Modeling,” Proceedings
of SPIE 3679: 162–176, 1999.
100 Chapter Three
4.1 Introduction
The quality of patterns printed on wafer may be attributed to factors
such as process window control, pattern fidelity, overlay performance,
and metrology. Each of these factors plays an important role in
making the process more effective by ensuring that certain design-
and process-specific parameters are kept within acceptable variation.
Quality of image transfer from mask to silicon is a function not only
of manufacturing process parameters but also of design quality. This
is where design for manufacturability (DFM) plays an active role,
involving improvements to the quality of physical design. This is
done using rules, guidelines, and simulations. Design rules and DFM
guidelines themselves are obtained through simulation and
experimentation using control structures, as shown in Figure 4.1.
The foundry communicates a set of physical design rules known
as restricted design rules (RDRs) to the designers. As an alternative, the
foundry may publish a set of design guidelines; these are not checked
during the design rules check process but are considered to be good
design practices. Restricted design rules are obtained either through
simulation or through actual silicon observation. Simulation is useful
for establishing forbidden pitches, the control of interconnect and gate
linewidth, and the placement of via and contacts. The experimentation
process is expensive, but is more comprehensive in terms of assessing
the impact of etch, line edge roughness, overlay structures, and so
forth.
Manufacturing an IC under a new process technology involves
the use of control structures to measure the effectiveness of design
rules and variabilities of the process. Many critical measurements are
performed through experimental observation. The line edge roughness
103
104 Chapter Four
Foundry stage 2
FIGURE 4.1 Transfer of process specification and design database between the
foundry and the design house.
Behavioral
Architectural design Antenna diode insertion
description
Circuit design
Circuit
description
Circuit verification
OPCed
mask
80
ED = 40 mJ/cm
ED = 70 mJ/cm
40 ED = 90mJ/cm
ED = 110 mJ/cm
ED = 140 mJ/cm
20 ED = 160 mJ/cm
ED = 180 mJ/cm
ED = 220 mJ/cm
0
−0.2 −0.15 −0.1 −0.05 0.0 0.05 0.1 0.15 0.2
Focal position (microns)
FIGURE 4.3 Bossing plot: simulating the effect of focus and exposure on the
resist linewidth.
220
198
Exposure energy (mJ/cm2)
176
22
−0.2 −0.15−0.10−0.05 0.0 0.05 0.10 0.15 0.2 0.25
Focal position (μm)
focus for a given value of each of the three parameters that define the
resist profile. So, for a given linewidth tolerance of ±10 percent, the
focus and dose values that keep the linewidth within specification are
plotted first. These two curves (one for +10% and one for −10%)
define the critical dimension (CD) bound. Next, the resist thickness
Manufacturing-Aware Physical Design Closure 111
and sidewall angle tolerance are stipulated, and the corresponding
curves for dose and focus variation within specification are plotted.
Overlapping these contour curves in a single graph reveals the focus-
exposure window for the current process, as shown in Figure 4.5.1
The exposure latitude and depth of focus is obtained from the process
window plot of the common (overlapping) region. This region is
marked by a rectangle or an ellipse that encloses the intersection area
based on type of focus and dose variation.
When variation in the independent process parameter is
systematic, a rectangle is drawn within the overlapping region to
obtain the process latitude. The height of the rectangle gives the
exposure latitude for all focus values, and the width of the rectangle
defines the depth of focus for different discrete exposures (see
Figure 4.6). In this case, every point in the rectangle can be used as a
process corner to obtain resist profiles within specification. If the
variation of focus and dose is random, then this variation occurs with
a given probability; as a result, the values fall within an ellipse fit to
the overlapping region. This area defines the process latitude wherein
a large number of process corners can be used without observing any
extremity in the resulting resist profiles. Figure 4.71 compares the
range of process corners that can be used during manufacturing when
the process window is fit with a rectangle versus an ellipse. Because
of the isodense bias described previously, process windows for dense
and isolated line have very little overlap.1 This is a cause for concern
and calls for techniques to increase this overlap.
80
% Exposure dose variation
60
Process window
contours
40 Resist loss
Sidewall angle
Resist linewidth
0
−20
−0.2 −0.15 −0.10 −0.05 0.0 0.05 0.10 0.15
Focal position (microns)
60
% Exposure dose variation
40
20
−20
−0.2 −0.15 −0.10 −0.05 0.0 0.05 0.10 0.15
Focal position (microns)
FIGURE 4.6 Process window fitted with maximum rectangle and maximum
ellipse.
40 Rectangle method
Ellipse method
30
% Exposure latitude
20
10
0
0.0 0.1 0.2 0.3 0.4
Depth of focus (microns)
FIGURE 4.7 Exposure latitude versus depth of focus (DOF) for rectangle and
ellipse method of establishing process window (cf. Figure 4.6).
Original feature
OPCed mask
FIGURE 4.8 Resist images with and without optical proximity correction (OPC).
Manufacturing-Aware Physical Design Closure 115
Original layout
pattern
Resist image
without OPC Input
design FEM window
Model-based Lithography
OPC model
Hammerhead
Intrusion serif
Jogs
FIGURE 4.10 Serifs, hammerheads, and jogs added to the original mask by the
OPC process.
FIGURE 4.11 Shot counts at the mask-writing stage for layout with and without
model-based OPC.
118 Chapter Four
SRAFs
Dense
features Incorrect edge location – decrease in CD
Correct edge
definition
Isolated
reature Incorrect edge location – increase in CD
With SRAF
Without SRAF
Pitch
FIGURE 4.14 Variation in chip process latitude with and without SRAF insertion;
the ideal number of SRAFs cannot be added here, resulting in lower process
latitude.
120 Chapter Four
SRAFs
Mask feature
Quartz
Phase
Cross section
shifter
Electric field +
0
−
Intensity at
resist surface +
0
− (a) (b)
FIGURE 4.16 Light waves passing though openings of different phase cause
destructive interference of the diffraction patterns, leading to better pattern
transition: (a) no destructive interference between same-phase patterns, which
leads to poor pattern contrast; (b) the mask produced using phase shift masking
(PSM) yields improved pattern contrast.
122 Chapter Four
3.5
AltPSM
COG mask
3.0
Depth of focus (R.U.)
2.5
2.0
1.5
1.0
0.5
0
0 5 10 15 20 25 30
% Exposure latitude
FIGURE 4.17 Alternating PSM yields greater process latitude than that of a
binary, COG mask.
Manufacturing-Aware Physical Design Closure 123
(a)
(b)
FIGURE 4.18 (a) Two common layout patterns with phase assignment conflicts;
(b) solutions to the phase assignment problem.
1.5
COG mask
AttPSM
1.25
Relative intensity
1.0
0.75
COG edge Attenuated
intensity PSM edge
0.5 Image
ringing intensity
0.25
0
−1.0 −0.8 −0.4 0.0 0.4 0.8 1.0
x (λ/NA)
Off-axis
illumination
180°
Reticle 0°
mask Chrome
+1 st
0th
−1st
High NA
reduction lens
Aerial
image
Resist threshold
Post-develop
Wafer resist pattern
Dense mask
pattern
+ Diffraction +
0 pattern 0
− −
Lens aperture
Mask stage A
Original mask
Mask stage B
FIGURE 4.23 Alternating dipole pupil filters to print vertical and horizontal
patterns using off-axis illumination.
Ideal
1
Yield
0
(a)
MB-OPC
LER, forbidden pitch,
etch effects
Forbidden pitches?
0
Metal feature spacing
(b)
FIGURE 4.24 (a) Yield as a step function of geometric design rule (GDR)
dimensions; (b) in subwavelength regimes, yield is no longer a step function of
GDR dimensions.
Manufacturing-Aware Physical Design Closure 129
features. These new specifications reduce the influence of DRM on
layout and have been found to reduce the 3σ interconnect linewidth
variation.13 The regularity obtained by the use of RDRs reduces
linewidth variation across the chip. The main disadvantage of RDRs
is their inability to make predictions for 2-D device features, since all
calibrations are performed on 1-D features.
Exposure
dose
distribution
Defocus
distribution
Hotspot based printability
verification tool CD resist
profile
distribution
Hotspot analysis
Other process
parameter
distributions
Necking hotspot
Bridging hotspot
FIGURE 4.26 Necking and bridging defects that can arise at different process
corners; simulations based on variation of input parameters.
RET compliant
standard cell ? No
Physical design:
place & route
Yes
Fixed small
poly endcap
FIGURE 4.28 Poly extension rule: increasing gate extension near diffusion.
Dummy
Standard cell
Forbidden pitch
Contacted pitch
FIGURE 4.30 Poly features placed at contacted and forbidden pitches within a
standard cell; dummy features are added between active areas and around cell
boundary.
Manufacturing-Aware Physical Design Closure 135
Border poly
Contact
poly
Top/Bottom
poly
the width of gate regions outside the diffusion region and metal lines
(cf. Figure 4.18). Diffusion rounding at regions where contacts are
placed can cause yield problems. For a sample standard cell layout,
the gate linewidth variation with and without manufacturability-
aware changes are graphed in Figure 4.32.21 These plots demonstrate
the importance of incorporating an RET-aware methodology for
standard cell characterization.
Typical DFM flows incorporate modifications to standard cell
designs based on information from the manufacturing side. These
standard cell layouts are used to ease the full-chip OPC process. In
addition, when properly used for analysis, they can enhance the
capacity of simulations to predict postsilicon circuit performance and
reliability failures.
900
700
Number of gates
500
300
100
54 56 58 60 62 64 66 68
Gate length (nm)
(a)
900
700
Number of gates
500
300
100
54 56 58 60 62 64 66 68
Gate length (nm)
(b)
FIGURE 4.32 Gate length variation for a standard cell over the entire chip area:
(a) without dummy feature; (b) with dummy feature inserted.
Driver M1
Fan-out/load
M2
VIA_1_2
(b) Antenna violation!!
Contact
M1 area >> GATE area
Diffusion
M1 area
Driver
GATE area Fan-out/load
Antenna
diode
Driver Driver
(a) Fan-out/load (b) Fan-out/load
Jumper
M1
M2
VIA_1_2
Contact
Diffusion
Driver
(c) Fan-out/load
FIGURE 4.34 Mitigation of antenna effect: (a) change in routing order; (b) ant-
enna diode insertion; (c) jumper insertion.
95
Average CD (nm)
90
NAND2X4
NOR2X1
85 INVX2
NAND3X4
4
NAND2X4
NOR2X1
INVX2
NAND3X4
2
Delay change (%)
−2
−4
−8.75 −3.75 0 3.75 8.25
Lens position (mm)
FIGURE 4.36 Change in average delay with position of the lens center.
Netlist
Aberration
Delay tables aware
placement
Trialroute
Timing Aberration
library aware STA
Estimation of wire
length, timing &
runtime
(a) (b)
based on edge look-up tables (see Sec. 2.4.1.4). This approach, called
RET-aware detailed routing or RADAR, is a noniterative process that
rips and reroutes after generating blockage data for hotspot regions.
The EPE of the new route is again estimated to determine whether the
new route or the old one should be kept. A step-by-step flowchart for
this approach is shown in Figure 4.39.29
Manufacturing-Aware Physical Design Closure 141
Mark hotspots
Display EPE
based on
map
EPE maps
Generate routing
window and
blockage
Wire spreading
and ripup/reroute
Recalculate
EPEs
Mask 1
Min spacing
Mask 2
mask 1
mask 2
(a)
mask 1
mask 2
(b)
FIGURE 4.41 Phase assignment and mask decomposition for (a) positive tone
process and (b) negative tone process.
144 Chapter Four
Conflict
Overlay
Stitch error
FIGURE 4.43 A feature being split to resolve an assignment conflict; the requi-
site stitch has led to an overlay error.
Manufacturing-Aware Physical Design Closure 145
1st exposure
2nd exposure
Etch
Hard mask 2
Hard mask 1 First resist coat
FIGURE 4.45 Double exposure–double etch DPL process: positive tone for line
features.
Hard mask 2
Hard mask 1 First resist coat
FIGURE 4.46 Double exposure–double etch DPL process: negative tone for
space features.
decomposed into two masks. However, the task is far more complex
for logic circuits, which do not exhibit regularity in distance and
orientation. Because straightforward two-color solutions cannot be
obtained for industrial logic designs, the only other option is to
modify the layout by increasing distances between patterns that are
colored differently. This leads to an increase in chip area, which adds
to the chip’s cost. Increased cost due to extra mask and process stages
is another obvious concern. As we have seen, process errors may be
found at each stage of the lithographic process. With the increased
number of stages necessitated by double patterning, the probability
of process errors increase and consequently yield is reduced. Another
area of concern is foundry throughput, since double exposure may
entail a reduction in the number of wafers fabricated per hour. Yet
despite all of these limitations, double patterning is viewed by many
as a “savior” technique for increasing achievable resolution (by
148 Chapter Four
Final pattern
f ␣ (4.1)
Now, by ideal inverse lithography (see Figure 4.48 for the flowchart),
the required mask pattern can be written as the inverse of the target
pattern on the wafer:38
␣ f 1 (4.2)
Calibration
data
^ `
1
I x, y 1 exp ª a Tf * M( x, y ) º
2
«¬ »¼ (4.3)
The goal here is to minimize the error between the current image
on the wafer and the required image on the mask. The term η (eta)
¦ I x, y Iˆ x, y
2
Minimize
x,y
(4.4)
(0, 1), for BIM
such that M( x, y ) ®
¯(1, 0, 1), for PSM
denotes the mean square error value. Because the required mask
function could be either binary or phase shifting, the corresponding
constraints are also listed. The practical way to solve such an inverse
problem incorporates an iterative perturbation algorithm that starts
with a suitable guess for the final image. For each perturbation of the
initial image, an aerial image will be calculated and compared to the
target pattern, and the differences will be noted. The overall goal of
this approach is to minimize the differences between the two aerial
images. Other optimization criteria can be added to this methodology
in order to form a global cost function that can be used in the iterative
process. A simplified flowchart of this procedure is shown in
Figure 4.49.39 Process calibration data provides information on the
Calibration
data
Optimization
FIGURE 4.49 Practical optimization flow for solving the inverse lithography
problem.
Manufacturing-Aware Physical Design Closure 151
imaging system parameters, projection optics, and resist functions,
which aids in the creation of a good forward imaging model.
A number of different solutions for inverse lithography technology
(ILT) have been proposed in the literature. All these techniques
attempt to find the ideal required mask pattern based on the iterative
optimization method just described. One such technique pixilates the
mask into equal-sized regions that are well below the system’s
resolution limit. Each discrete pixel is randomly assigned a particular
phase to generate the required mask pattern41,42 (see Figure 4.50).42
Gradient-based efficiency has been incorporated into the random
pixel-flip technique to improve the solutions obtained.38 Genetic
algorithm and simulated annealing techniques have also been
suggested as possible solutions.43
Another class of techniques divides the layout into different
regions that have multiple transmission properties. A technique that
closely resembles OPC has also been suggested to solve the inverse
lithography problem.44 Instead of running a script to perform pattern
segmentation, this new technique partitions the pattern into different
regions according to topography: pattern edge, pattern corner, or
pattern end; see Figure 4.51.44 Iterative movement is directed within a
(a) (b)
(a) (b)
OPC
(b)
(a) ILT
(c) (d)
FIGURE 4. 52 OPC and ILT compared: (a) uncorrected mask tile consisting of
four semi-isolated vias; (b) mask corrected by conventional segmentation-based
OPC and rule-based SRAFs; (c) mask corrected by single iteration of ILT-based on
pixel inversion; (d) final simplified mask aligned with 45° and 90° line
segments.
Manufacturing-Aware Physical Design Closure 153
4.6 Summary
In this chapter we described the design rules manual and how it is
created. We then explored the topic of design rules check using rule-
based and model-based techniques. It was observed that restricted
design rules are typically checked with rule-based methods whereas
remaining optical printability issues are checked with model-based
methods. We discussed resolution enhancement techniques in detail
as well as the extent of their use in today’s designs. Design for
manufacturability has become a prevalent process in layout
generation, so several methodologies that employ DFM were also
discussed. Finally, we described dual-pattern lithography and
explained how it improves resolution. The general capabilities of
available DFM tools and their usage in current designs and
methodologies were also explored.
References
1. Chris. A. Mack, Field Guide to Optical Lithography, SPIE Press, Bellingham, WA,
2006.
2. Chris. A. Mack, Fundamental Principles of Optical Lithography, Wiley, New York,
2007.
3. N. B. Cobb, “Fast Optical and Process Proximity Correction Algorithms for
Integrated Circuit Manufacturing,” Ph.D. thesis, University of California,
Berkeley, 1998.
4. L. W. Leibmann, S. M. Mansfield, A. K. Wong, M. A. Lavin, W. C. Leipold, and
T. G. Dunham, “TCAD Development for Lithography Resolution Enhancement,”
IBM Journal of Research and Development 45(5): 651–666, 2001.
5. A. K. Wong, Resolution Enhancement Techniques in Optical Lithography, SPIE Press,
Bellingham, WA, 2001.
154 Chapter Four
5.1 Introduction
Semiconductor manufacturing is a complex process that involves
concepts from various science and engineering disciplines. Since its
start during the late 1940s, semiconductor manufacturing has evolved
into an industry whose reach has spread into every facet of life today.
From space technology to handheld devices, the number of
applications that use semiconductor-based components is constantly
on the rise. Simply because the transistor shrinks in size every two
years, its processing power enables computing and signal processing
applications that were unrealizable previously. Semiconductor
manufacturing is the process of fabricating semiconductor-based
devices to be used in systems. It involves three basic stages:
1. Wafer production
2. Wafer processing, or the transfer of design to wafer
3. Wafer analysis, testing and packaging
157
158 Chapter Five
Diameter Thickness
150 mm 675 μm
200 mm 725 μm
300 mm 775 μm
450 mm 925 μm (target)
TABLE 5.4 Particle Counts for Vapor Deposition Processes (particles > 0.5 μm)
(a)
Bridging
defect
Open defect
(b) (c)
FIGURE 5.2 Defects that can cause circuit failure: (a) original layout; (b) layout
with open defect; (c) layout with bridging defect.
166 Chapter Five
wire 2
wire 1
Metal
R
Critical area
Ac(R)
Defect
s s +w R
2 2
(c)
FIGURE 5.3 Critical areas of a layout: (a) short critical area; (b) open critical
area; (c) dependence of critical area on the defect radius R.
168 Chapter Five
xmax
i = i ( x ) fd ( x) dx (5.1)
xmin
u
if xmin x xmax
fd ( x ) = x p (5.2)
0 otherwise
p 1 p 1
( p 1) xmin xmax
where, u= p 1 p 1
xmax xmin
Values for p and xmax are also obtained empirically, whereas xmin
depends on the resolution limit of the lithography system. Let Aicrit(x)
M e t r o l o g y, M a n u f a c t u r i n g D e f e c t s , a n d D e f e c t E x t r a c t i o n 169
be the critical area for defects of type i and diameter x. Then Aicrit is the
average over all defect diameters x and is given by
xmax
Aicrit = Aicrit ( x ) fd ( x ) dx (5.3)
xmin
Hence POF may be defined as the ratio of critical area to the total chip
area:
Aicrit
i = (5.4)
Chip area
Metal
Defect
170 Chapter Five
(a) Original polygon (b) Defect shape (c) Tangents to defect (d) Final polygon
added to vertices shapes drawn
Defect of size A
Defect of size B
FIGURE 5.6 Monte Carlo–based critical area estimation using defects of various
sizes; markers indicate defects that cause faulty circuit behavior.
M e t r o l o g y, M a n u f a c t u r i n g D e f e c t s , a n d D e f e c t E x t r a c t i o n 171
In contrast, the Monte Carlo technique does not restrict itself to a
particular defect size. It generates random defect sizes based on the
defect distribution in order to estimate the overall chip critical area
(see Figure 5.6). The critical area of the chip for a defect of size x is
given by the geometric union of the critical area of all the wires in the
design:
xmax
Atotal-CA ( x ) = Ac ( x ) d( x ) dx (5.5)
xmin
Here Atotal-CA is the total chip critical area for all defect sizes, xmax and
xmin are (respectively) the maximum and minimum defect sizes, and
d(x) is the defect size distribution function. If x0 is the minimum
allowable spacing provided in the design rules manual, then a typical
defect distribution is given by
x
if 0 x xo
xo2
d( x ) = (5.6)
xo2
if xo x xmax
x3
e λk
Pr ob(X = k ) = (5.7)
k!
Ychip (k = 0) = e =e Ac D
(5.8)
k n k
n
Pr ob(X = k ) = 1 (5.9)
k n n
As the subareas become very small and n approaches infinity, Eq. (5.9)
reduces to
e λ k
k n k
n
Pr ob(X = k ) = 1 (5.10)
k n n k!
Y= e Ac D
f (D) dD (5.11)
⌫(␣ k ) ( ␣)k
Pr ob(X = k ) = (5.12)
k ! ⌫(␣) (1 ␣)␣ k
A D
Ychip 1 (5.13)
W ␣i
(D A)i
Y= 1 (5.14)
i =1
␣i
DISTRIBUTION OF D Y/ Y0 λ=
D eD0 Ac 0
D0
0 1 2
2
D0 Ac
1 e
D 0.22(±0.02)
D0
D0 A
0 1 2
1 e 2D0 Ac
D 0.5(±0.1)
2D0 A
0 2 D0
Exponential
1
D 1
1 D0 A
0 1 2 D0
Gaussian
σ 2
1 AcD0
D exp AcD0
2 2 2
D0
0 1 2
1 1 for small
2 1 erf D0 Ac
D
1 2
1 1 D0
exp
2 2
Gamma
1
α ≡ 1 1
σ σ2 D 2
1 1
0 1 D0 1 2
D0 Ac 2 1 λD0 Ac λ
1
D D
exp
( ) D0 D0
metal width and spacing, these are the two targeted design parameters.
Techniques to improve CA include increased spacing, wider lines,
and wire pushing or spreading. Increasing the space between lines is
a simple way to improve CA-based yield metric. An example
suggested in Chapter 4 for better phase assignment in standard cells
applies here as well. Poly lines placed at minimum spacing are moved
further apart to reduce the possibility of shorts. Similarly, the widening
of metal lines within standard cells improves yield, since the
probability of defects causing an open is reduced. These two methods
are incorporated into standard cell design.
M e t r o l o g y, M a n u f a c t u r i n g D e f e c t s , a n d D e f e c t E x t r a c t i o n 175
Routing algorithms that are CA-aware have also been suggested.
The allowed spacing technique scans the layout to compute spacing
allowances for all movable wires and then uses this as a measure when
routing is performed. The information on allowable spacing is used to
help routing algorithms implement wire widening and wire pushing
or spreading (see Figure 5.8), thereby reducing the critical area between
lines. During the routing process, wire pushing involves finding the
optimal route that reduces the overall critical area of the layout.15
Sensitivity estimation during routing also helps to reduce the critical
area. These techniques for wire spreading and estimating allowable
space are all derived from the so-called skyline algorithm.16
FIGURE 5.9 Patterning defects: (a) necking of small hammerheads; (b) not
enough OPC at nonnominal process corners; (c) SRAF placement error.
180 0 ?
180
180 0
180
178 Chapter Five
(p ) ⌺ (p )
1T
exp
⌽( p) =
(2 )n Cd (5.16)
CD CD CD
⌬CD = ⌬p1 ⌬p 2 ⌬p 3 ... (5.17)
p1 p2 p3
Analyze Generate
process for PROLITH/2
errors Maximum response
data ranges
Error Process
distributions response space
User Create CD
input distribution
Analyze CD CD yield
distribution
Frequency
0.04
0.50 0.10
0.02
0.45
0.00 0.00
185 210 235 150 200 250 300 0.46 0.48 0.50 0.52 0.54
Exposure (mJ.cm2) Exposure (mJ.cm2) Exposure (mJ.cm2)
Input error function Process response = Output error function
*
(Normally distributed (Exposure Latitude) (Resulting linewidth
exposure error) distribution)
110
100
90
80
% of Yield
70
60
50
40
30
0.30 0.35 0.40 0.45 0.50 0.55
Feature Size (microns)
FIGURE 5.13 Yield as a function of feature size for a 0.4-μm i-line process with
dense lines and spaces.
182 Chapter Five
Compute
Layout–GDS, Mask
density &
DEF, LEF tiling
tilt impact
Focus &
dose info
Line edge
roughness Metal line yield
variability
Linewidth-based
design yield
Yield from Line Shape Consider the metal lines shown in Figure 5.15.22
Because of proximity effects, the postlitho linewidth (LWpostetch)
is either smaller or larger than the expected linewidth (LWideal). If
M e t r o l o g y, M a n u f a c t u r i n g D e f e c t s , a n d D e f e c t E x t r a c t i o n 183
LWR = X1 + X2
(a)
LWIDEAL LWIDEAL
LWjutS1 LWjutS2
LWpostetch
LWpostetch
(b)
(c)
LWpostetch goes to zero, the result is an open defect on the line. Similarly,
if the postetch interline spacing goes to zero then the result is a short
defect on the line.
An additional parameter related to line-edge roughness increases
the probability of opens and shorts. A narrow line of nominal width
greater than zero but less than two times the LER amplitude may
become open. Likewise, if the nominal postetch interline spacing
exceeds zero but is less than twice the LER amplitude, a short may
result. Let LWR denote the LER-adjusted, postetch, worst-case
linewidth for each defect case just described. Then the conditions for
defects may be written as follows:
Here LWideal is the ideal (expected) linewidth for the target lithography
process, otherwise known as mask CD, and LWpostetch is the obtained
linewidth after the etch process with variations. The Mlayer term is the
metal layer number, and LWjutS1 and LWjutS2 denote the protrusions on
either side of a metal line. Finally, “spacing” is the edge-to-edge
distance between two adjacent metal lines. In this case, “spacing”
184 Chapter Five
denotes the limit to which two adjacent lines can expand and not
bridge. The expressions displayed above can be used to obtain the
linewidth distribution from statistical mask simulation; this distri-
bution is then used to calculate the probabilities of a short or open for
a given segment. (For more details, see Sreedhar and Kundu.22)
Dummy features
windows
tile
5.4 Metrology
Metrology is a part of semiconductor manufacturing that involves
data measurement within and outside of the clean room. Figure 5.19
summarizes the classification of metrology. Metrology within the
clean room can be categorized as being either in-line or in-situ. In-line
metrology involves data measurement on test structures that have
been fabricated on the wafer; it includes measurement requirements
for the process control of fabricating transistors and on-chip
M e t r o l o g y, M a n u f a c t u r i n g D e f e c t s , a n d D e f e c t E x t r a c t i o n 187
-SEM
Semiconductor
-Spectroscopy
metrology
-Ellipsometry
P 6 precision
= (5.19)
T lim process lim process
5.4.2 CD Metrology
Critical dimension metrology involves the measurement of linewidth,
spaces, and via or contact holes patterned on the wafer. There are
three principal techniques for performing linewidth measurement:
(1) scanning electron microscopy (SEM); (2) electrical metrology; and
(3) scatterometry. Each technique is based on fundamentally different
concept of measurement. Scanning electron microscopy, as the name
implies, uses electron flow to take measurements; electrical techniques
use test structures; and scatterometry is an optical technique.
Electron gun
Lens I
Aperture
Scan generator
Scan coils
Visual
Lens II display
unit
Wafer Amplifier
sample Detector
Maximum Maximum
slope
Edge signal
Threshold
Baseline
Point of
inflection
Minimum
Cross-section of feature
60 being scanned
1/cosθ
40
20
θ
0
0 20 40 60 80
Sidewall angle-Theta (degrees)
FIGURE 5.22 Secondary emissions depend on the slope of the resist profile.
1/(cos θ), where θ is the sidewall angle of the profile being scanned.
Hence, the resist profile image can be created by measuring the
linewidth and height of the feature (from the incident beam) and the
sidewall angle (from the secondary emissions). Extreme care must be
taken when using SEM images to predict edge location and slope,
because the profile variation of such images is highly sensitive to
errors.
Wafer images created by SEM also have application to defect
identification and other device measurements. However, a major
problem with SEM imaging is charging of the sample being imaged.
Electrons from the incident beam induce charging of the substrate,
which can have a significant effect on the measurement. The extent of
charging depends on the voltage of the incident beam and on the
composition of the substrate material, so any change in either of these
factors can lead to measurement errors. At low voltage, energy beams
have high numbers of primary electrons and and low numbers of
secondary and back-scattered electrons. This balance changes at
higher voltages. The measurement is error-free only when the material
being imaged remains electrically neutral, yet the material being
exposed may accumulate a net charge.32,33 Negatively charged material
deflects electrons, which causes measurements to be narrower than
the actual linewidth (see Figure 5.23). The opposite happens when
the material is positively charged. Recent work has shown that error
magnitudes can be reduced by taking a 2-D Fourier transform of the
resulting image.34
Electron beam
Original feature
Resulting image
(a) (b)
dI d
gm = (5.21)
dVgs
Vds
where β = Leff-1 is used to estimate the effective gate delay and leakage
during frequency binning and reliability tests.
The second well-known procedure is to use test structures (like
the one depicted in Figure 5.24) to estimate printed CD for the mask
under preset imaging conditions.35,36 This method estimates WTS, the
electrical linewidth from the test structure, in terms of the sheet
resistance Rsh and the bridge resistance Rb, both obtained from
potential values at the probe pads. That is,
Rsh
WTS = LTS (5.23)
Rb
192 Chapter Five
3 2
1
WTS
5 6
4 LTS
Thus, Rsh is obtained by first passing a current Ish between pads 3 and
4 while measuring the voltage V2,5 between pads 2 and 5; the current
is then reversed to obtain V5,2. The same procedure is adopted for
measuring the voltage between pads 4 and 5 (and vice versa) as a
current Ish flows though pads 2 and 3. Likewise, the bridge resistance
Rb is determined by passing a current Ib through pads 1and 3 while
measuring the voltage change between pads 5 and 6. Thus,
V5,6 V6,5
Rb = (5.25)
2 Ib
Line end effects are avoided by ensuring that the test structure
has length significantly greater than the width of an interconnect (i.e.,
WTS >> LTS). Electrical linewidth measurement is also used to measure
contact and via dimensions.37 Let Lv-c and Wv-c denote (respectively)
the width and length of vias or contact holes in the test structure, and
let N be the number of these features. Then the effective diameter of
via-contact holes is given by
M e t r o l o g y, M a n u f a c t u r i n g D e f e c t s , a n d D e f e c t E x t r a c t i o n 193
5.4.2.3 Scatterometry
Scatterometry is a method that complements the SEM technique. As
with SEM, this procedure requires a large enough area to deduce CD
and resist profile information. Scatterometry involves the use of a
beam of light that is incident on a grating printed on the wafer. The
reflectance of the light is measured as a function of the wavelength in
order to obtain a profile. A schematic of a scatterometry setup is
shown in Figure 5.25.
There are two types of scatterometry: one that changes the
wavelength to obtain the reflectance of images, and one that uses
varying incidence angles. When it’s the wavelength of the light that is
changed, the method is known as spectroscopic ellipsometry.39 The plot
Laser
Detector
Scanner
Scattered light
intensity
Grating
0.6
0.5
0.4
Reflectance
0.3
0.2
0.1
0
4000 5000 6000 7000 8000
Wavelength (nm × 10)
Rp
tan = (5.27)
Rs
Rp
= = tan e i⌬ (5.28)
Rs
LX 1 LX 0
(⌬X )0D = (5.29)
2
X-overlay
error
Y-overlay
error
Active area –
(Diffusion)
Source contact
Poly-gate
LX 0 LX 1
(⌬X )180D = = (⌬X )0D (5.30)
2
Filled and
polished area
will differ from overlay errors. Hence, overlay patterns are printed at
minimum feature widths not only to improve alignment but also to
help with other measurements.
FA1
FA2
… ..
… ..
inspection verification check report
5.5.2.2 Decapsulation
Decapsulation is an FA technique used to reveal internal construction
and to uncover device failure. The plastic package is opened without
altering the failure mode. Decapsulation techniques can be either
mechanical or chemical. The mechanical decapsulation process involves
the application of opposing forces to the top and bottom of the
package in order to remove the seal glass or pry the lid of the ceramic
package. Chemical decapsulation techniques include chemical, jet, and
plasma etching that employ external etchant materials to perform
chemical decapsulation. Acid-based chemical etching involves the
use of fuming acids such as sulfuric and nitric acids. These acids do
not etch selectively but instead attack materials indiscriminately
while performing decapsulation.
function of the energy of the emitted x ray. X rays interact with silicon
atoms to generate electron-hole pairs, thereby generating currents.
These currents are sampled to find magnitudes that are correlated
with the x-ray peaks, signaling the presence of various elements in
the specimen. A specialized surface analysis known as auger electron
spectroscopy (AES) involves ion etching of the surface followed by
analysis of the resulting depth profile of the contamination. Other
techniques for surface analysis include secondary ion mass spectrometry
(SIMS), which is used to measure directly the dopant profiles in the
semiconductor, and energy spectroscopy chemical analysis (ESCA),
which utilizes information on the valence state of the material to
analyze material composition on the device surface.
5.6 Summary
This chapter began with a brief discussion of the semiconductor
manufacturing processes. We provided an overview of process-
induced defects, of their sources and electrical impact, and of defect
models. The various proposed particle defect models were explained,
along with their use in CA-based yield analysis. We discussed
patterning problems that can lead to pattern-dependent catastrophic
device failures due to errors in diffusion, vias, contacts, and
interconnect. Variations in thickness due to CMP can cause defocus
errors, contributing to defect formation. We described how pattern
density can be correlated to CMP-related thickness variations and
also to local etch problems. We then proceeded to examine various
layout engineering techniques to mitigate both particulate- and
pattern-induced errors. In addition, various metrology techniques
and their applications to semiconductor measurement for process
control were described. Finally, we introduced semiconductor failure
analysis by describing the various destructive and nondestructive
techniques in use today.
References
1. International Technology Roadmap for Semiconductors Report, http://www.itrs.net
(2007).
2. A. V. Ferris-Prabhu, “Role of Defect Size Distributions in Defect Modeling,”
Transactions of Electron Devices 32(9): 1727–1736, 1985.
3. R. Doering and Y. Nishi, Handbook of Semiconductor Manufacturing Technology,
CRC Press, Boca Raton, FL, 2007.
4. B. R. Mandava, “Critical Area for Yield Models,” IBM Technical Report no.
TR22.2436, 1992.
5. T. Okabe, M. Nagata, and S. Shimada, “Analysis of Yield of Integrated Circuits
and a New Expression for the Yield,” Proceedings of Electrical Engineering Japan
92: 135–141, 1972.
6. C. H. Stapper, “Defect Density Distribution for LSI Yield Calculations,” IEEE
Transactions on Electron Devices 20: 655–657, 1973.
7. I. Koren, Z. Koren, and C. H. Stapper, “A Unified Negative Binomial Distribution
for Yield Analysis of Defect Teolerant Circuits,” IEEE Transactions on Computers
42: 724–737, 1993.
M e t r o l o g y, M a n u f a c t u r i n g D e f e c t s , a n d D e f e c t E x t r a c t i o n 205
8. I. Koren, Z. Koren, and C. H. Stapper, “A Statistical Study of Defect Maps of
Large Area VLSI ICs,” IEEE Transactions on VLSI Systems 2: 249–256, 1994.
9. C. H. Stapper, “One Yield, Fault Distributions and Clustering of Particles,” IBM
Journal of Research and Development 30: 326–338, 1986.
10. C. H. Stapper, “Small-Area Fault Clusters and Falut-Tolerance in VLSI Circuits,”
IBM Journal of Research and Development 33: 174–177, 1989.
11. I. Koren and C. H. Stapper, “Yield Models for Defect Tolerant VLSI Circuits: A
Review,” in Proceedings of Workshop on Defect and Fault Tolerance in VLSI Systems,
IEEE Computer Society Press, Los Alamitos, 1989, vol. 1, pp. 1–21.
12. O. Paz and T. R. Lawson, Jr., “Modification of Poisson Statistics: Modeling
Defects Induced by Diffusion,” IEEE Journal of Solid-State Circuits 12: 540–546,
1977.
13. B. Murphy, “Cost-Size Optima of Monolithic Intergrated Circuits,” Proceedings
of IEEE 52: 1537–1545, 1964.
14. W. E. Beadle, R. D. Plummer, and J. C. Tsai, Quick Reference Manual for Silicon
Integrated Circuit Technology, Wiley, New York, 1985.
15. V. K. R. Chiluvuri and I. Koren, “New Routing and Compaction Strategies for
Yield Enhancement,” in Proceedings of IEEE International Workshop on Defect and
Fault Tolerance in VLSI Systems, IEEE Computer Society, Los Alamitos, 1992,
pp. 325–334.
16. J. Fang, J. S. K. Wong, K. Zhang, and P. Tang, “A New Fast Constraint Graph
Generation Algorithm for VLSI Layout Compaction,” in Proceedings of IEEE
International Symposium on Circuits and Systems, IEEE, New York, 1991,
pp. 2858–2861.
17. A. B. Kahng, “Alternating Phase Shift Mask Compliant Design,” U.S. Patent
no. 7,124,396 (2006).
18. J. Luo, S. Sinha, Q. Su, J. Kawa, and C. Chiang, “An IC Manufacturing Yield
Model Considering Intra-Die Variations,” in Proceedings of the Design Automation
Conference, IEEE/ACM, New York, 2006, pp. 749–754.
19. A. Genz, “Numerical Computation of Multivariate Normal Probabilities,”
Journal of Computational and Graphical Studies 1: 141–149, 1992.
20. J. P. Cain and C. J. Spanos, “Electrical Linewidth Metrology for Systematic CD
Variation Characterization and Causal Analysis,” in Proceedings of SPIE Optical
Microlithography, SPIE, Bellingham, WA, 2003, pp. 350–361.
21. E. W. Charrier and C. A. Mack, “Yield Modeling and Enhancement for Optical
Lithography,” Proceedings of SPIE 2440: 435–447, 1995.
22. A. Sreedhar and S. Kundu, “On Linewidth-Based Yield Analysis for Nanometer
Lithography,” in Proceedings of Design Automation and Test in Europe, IEEE/
ACM, New York, 2009, pp. 381–386.
23. C. A. Mack, Fundamental Principles of Optical Lithography, Wiley, New York, 2008.
24. R. Datta, J. A. Abraham, A. U. Diril, A. Chatterjee, and K. Nowka, “Adaptive
Design for Performance-Optimized Robustness,” in Proceedings of IEEE
International Symposium on Defect and Fault-Tolerance in VLSI Systems, IEEE
Computer Society, Washington DC, 2006, pp. 3–11.
25. D. Ouma, D. Boning, J. Chung, G. Shinn, L. Olsen, and J. Clark, “An Integrated
Characterization and Modeling Methodology for CMP Dielectric Planarization,”
in Proceedings of IEEE International Interconnect Technology Conference, IEEE Press,
Piscataway NJ, 1989, pp. 67–69.
26. R. Tian, D. F. Wong and R. Boone, “Model-Based Dummy Feature Placement
for Oxide Chemical-Mechanical Polishing Manufacturbility,” in Proceedings of
Design Automation Conference, IEEE/ACM, New York, 2000, pp. 902–910.
27. A. B. Kahng and K. Samadi, “CMP Fill Synthesis: A Survey of Recent Studies,”
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
27(1): 3–19, 2008.
28. D. H. Stamatis, TQM Engineering Handbook, CRC Press, Boca Raton, FL, 1997.
29. R. R. Hershey and M. B. Weller, “Nonlinearity in Scanning Electron Microscope
Critical Dimension Measurements Introduced by the Edge Detection
Algorithm,” Proceedings of SPIE 1926: 287–294, 1993.
30. J. I. Goldstein, D.E. Newbury, P. Echlin, D. C. Joy, C. Fiori, and E. Lifshin,
Scanning Electron Microscopy and X-Ray Microanalysis, 2d ed., Plenum Press,
New York, 1984.
206 Chapter Five
31. J. Finders, K. Ronse, L. Van den Hove, V. Van Driessche, and P. Tzviatkov,
“Impact of SEM Accuracy on the CD-Control during Gate Patterning Process
of 0.25-μm Generations,” in Proceedings of the Olin Microlithography Seminar,
Olin Microelectronic Materials, Norwalk CT, 1997, pp. 17–30.
32. M. Davidson and N. T. Sullivan, “An Investigation of the Effects of Charging
in SEM Based CD Metrology,” Proceedings of SPIE 3050: 226–242, 1997.
33. C. M. Cork, P. Canestrari, P. DeNatale, and M. Vascone, “Near and Sub-Half
Micron Geometry SEM Metrology Requirements for Good Process Control,”
Proceedings of SPIE 2439: 106–113, 1995.
34. M. T. Postek, A. E. Vladar, and M. P. Davidson, “Fourier Transform Feedback
Tool for Scanning Electron Microscopes Used in Semiconductor Metrology,”
Proceedings of SPIE 3050: 68–79, 1997.
35. L. J. Zynch, G. Spadini, T. F. Hassan, and B. A. Arden, “Electrical Methods
for Precision Stepper Column Optimization,” Proceedings of SPIE 633: 98–105,
1986.
36. L. W. Linholm, R. A. Allen, and M. W. Cresswell, “Microelectronic Test
Structures for Feature Placement and Electrical Linewidth Metrology,” in K.
M. Monahan (ed.), Proceedings of Handbook of Critical Dimension Metrology and
Process Control, SPIE Press, Bellingham, WA, 1993.
37. B. J. Lin, J. A. Underhill, D. Sundling, and B. Peck, “Electrical Measurement of
Submicrometer Contact Holes,” in Proceedings of SPIE 921: 164–169, 1988.
38. E. E. Chain and M. Griswold, “In-Line Electrical Probe for CD Metrology,”
Proceedings of SPIE 2876: 135–146, 1996.
39. N. Jakatdar, X. Niu, J. Bao, C. Spanos, S. Yedur, and A. Deleporte, “Phase
Profilometry for the 193nm Lithography Gate Stack,” Proceedings of SPIE 3998:
116–124, 2000.
40. P. C. Logafătu and J. R. Mcneil, “Measurement Precision of Optical
Scatterometry,” Proceedings of SPIE 4344: 447–453, 2001.
41. J. Allgair, D. Beniot, R. Hershey, L. C. Litt, I. Abdulhalim, B. Braymer, M.
Faeyrman, et al., “Manufacturing Considerations for Implementation of
Scatterometry for Process Monitoring,” Proceedings of SPIE 3998: 125–134,
2000.
42. R. M. Silver, J. Potzick, and R. D. Larrabee, “Overlay Measurements and
Standards,” Proceedings of SPIE 3429: 262–272, 1995.
43. D. J. Coleman, P. J. Larson, A. D. Lopata, W. A. Muth, and A. Starikov, “On
the Accuracy of Overlay Measurements: Tool and Mask Asymmetry Effects,”
Proceedings of SPIE 1261: 139–161, 1990.
44. A. Starikov, D. J. Coleman, P. J. Larson, A. D. Lopata, and W. A. Muth,
“Accuracy of Overlay Measurement Tool and Mask Asymmetry Effects,”
Optical Engineering 31: 1298–1310, 1992.
45. M. E. Preil, B. Plambecj, Y. Uziel, H. Zhou, and M. W. Melvin, “Improving
the Accuracy of Overlay Measurements through Reduction in Tool and Wafer
Induced Shifts,” Proceedings of SPIE 3050: 123–134, 1997.
46. C. B. Yarling and M. I. Current, “Ion Implantation Process Measurement,
Characterization and Control,” in J. F. Zeigle (ed.), Ion Implantation Science and
Technology, Academic Press, Maryland Heights, MO, 1996, pp. 674–721.
47. L. L. Larson and M. I. Current, “Doping Process Technology and Metrology,”
in D. G. Seiler et al. (eds.), Characterization and Metrology for ULSI Technology,
AIP Press, New York, 1998.
48. P. L. Martin, Electronic Failure Analysis Handbook, McGraw-Hill, New York,
1999.
CHAPTER 6
Defect Impact Modeling
and Yield Improvement
Techniques
6.1 Introduction
With increasing device density, manufacturing defects and large
process variations lead to higher rates of device failure. The previous
chapter dealt with two types of defects that occur in semiconductor
manufacturing: particle (process-induced) defects and lithography
(pattern-dependent) defects. With large process variations, circuits
suffer from parametric failures. Metrology and failure analysis
techniques aim to identify the root cause of a failure induced by a
defect, its failure modes, and its input conditions. A defect is said to
cause circuit irregularity only if it manifests itself as a fault. A fault
can cause either logic failure or parametric failure. Catastrophic
failures are usually tied to logic faults, whereas parametric variations
occur because of changes in some attributes of a device. For example,
a defective oxide layer can lead to threshold voltage variation, which
may manifest as a parametric fault.
A designer’s role is to hypothesize about such potential defects
and to generate test patterns that can effectively screen for defective
parts. In a designer’s world, fault models are pivots to generating test
patterns. Without effective test patterns, defective chips cannot be
screened at a manufacturing site. When a defective part ends up in a
board, the downstream cost of testing it and replacing the faulty chip
is typically far greater than the cost of a manufacturing test at the
factory. This explains the importance of fault models. Section 6.2
describes defect and fault models, whose purposes are to hypothesize
about a defect’s location and its behavior in faulty mode.
In contrast, the purpose of a yield model is to predict the number
of good chips produced per wafer or per lot; this prediction is based
on defect and fault distribution statistics. Yield may be classified as
207
208 Chapter Six
• Printability verification
Masks • OPC
• Wafer sort
• Particulate errors
• Wafer mishandling • Failure analysis
Manufacturing • Manufacturing test
process • Misalignment Manufacturing
• Test pattern generation test process
• Pattern-dependent • Manufacturing
errors patterns
• Diagnostic patterns
are much more expensive. Figure 6.1 depicts the sources of manu-
facturing and circuit errors and the associated responses of analysis
and verification. A typical verification suite includes architectural
pattern simulation, formal verification, logical equivalency checks,
design rule checks, signal integrity analysis, printability verification,
electrical rules check, timing analysis, and reliability analysis.
Defects that occur during the manufacturing process are referred
to as postsilicon defects. These defects include random or spot defects as
well as systematic defects, which are usually lithography and/or
pattern dependent. The sources of such defects and their mechanisms
were detailed in Chapter 5. The first analysis action performed after
manufacturing is a wafer test that distinguishes good from bad dies.
Good dies then continue through the process, undergoing further tests
and quality assurance checks; defective dies may be targeted for
failure analysis or simply discarded. As explained in Sec. 5.5, failure
analysis is used to obtain detailed reports on the cause, failure mode,
and mechanism of various postsilicon defects. Once a failure mech-
anism’s origin is identified, steps may be taken during subsequent
design work to prevent such defects at the outset. These considerations
underscore the importance of modeling defect mechanisms.
IC failure
Performance failure
Structural failure
Hard performance failure Soft performance failure
rarely affect the IC’s structural operation. Global defects are more
likely to cause soft performance failure, and they are typically cont-
rolled by effective process control and process maturity.
Fault attributes
Analog Digital
1 0 A P1 P2
OUT
N1 0 1/Z
1 1 B N2
GND
214 Chapter Six
the circuit. Typical faults that are modeled with AbsFM are stuck-at,
transition, and path delay faults. The ATPG process using AbsFM
tends to be much faster than a realistic defect model, and AbsFM is
typically a stripped-down version of the defect-based fault model.
Abstract fault modeling targets the fault attributes classified in
Figure 6.3. The most important attributes that must be considered
when modeling a defect are the technology (e.g., TTL, CMOS, ECL),
the defect source, its duration, and its value. We have discussed fault
sources previously. A fault’s duration is a measure of its effect on
circuit operation. Permanent faults are those that make the inter-
connect line hold the same state throughout the circuit lifetime.
Transition faults are activated when a line or a gate changes value—
for example, with a slow-to-rise (STR) transition fault the observed
(faulty) value is 0. Small delay faults are transition faults that occur
within a finite duration (delay) of δ. Intermittent faults are caused by
radiation-induced soft errors; these are modeled as transition faults
or as stuck-at faults that occur only at some clock cycles of operation.
Intermittent faults are not repeatable, and they occur randomly.
Although transient faults are repeatable, they may not occur during
each clock cycle. Errors of this type are typically caused by problems
related to signal integrity and may be modeled as constrained
transition faults. Path delay faults are activated when a specified
signal transition takes place along a specified path. Example includes
STR and STF output delays at the end of a path.
Stuck-at Fault Model The most commonly used fault model is the
stuck-at fault model. A stuck-at fault is a proxy for such design defects
as metallization shorts, oxide opens, missing features, and source-
drain shorts. Whereas defect-based faults may exhibit complex
errors in logic behavior, a stuck-at fault model simplifies matters by
associating a constant value at a line or a node. This makes the ATPG
process considerably simpler. Studies have shown that test pattern
sets generated by considering stuck-at faults are almost always able
to detect the defects described here.
In stuck-at fault models, the interconnect line can take only one of
two values and so test generation is computationally light. The single
stuck-at fault model is the simplest of them all (see Figure 6.5). This
model proceeds under the assumption that a circuit contains only one
fault at a time. Hence there are only 2n faults to be tested, where n is
the number of nodes. A more sophisticated version of the stuck-at
fault model is the multiple stuck-at (MSA) fault model. This model
assumes the existence of two (or more) faults at a time in the circuit,
so the number of potential faults increases to 3n – 1. This model
improves the overall coverage of physical defects, but its major
drawback is the exponential fault count and the resulting huge
number of required tests. (In manufacturing, a test’s compactness is
important because larger test sets increase test time and product cost
D e f e c t I m p a c t M o d e l i n g a n d Yi e l d I m p r o v e m e n t Te c h n i q u e s 217
0
OR2
1
1
AND2 0/(1)
0/(1)
0
AND2 Faulty value
1 stuck-a-1
OUT2
IN1
NAND2
IN2 NAND2
NAND2 OUT1
IN3
IN4
Resistive bridge
Stuck-at-0
B
X
(a)
Abstract fault
model
Hybrid
Constraints
fault
model
Defect-based fault
model
(b)
FIGURE 6.7 Hybrid fault models: (a) example context; (b) elements.
Pattern optimization
Designer’s input: objectives
test patterns
Failure
Wafer sort analysis
Gross defect
Patterns: coverage
functional/ Good dies Bad dies
scan/BIST
Accelerate aging
ATPG during Burn-in defects
circuit
realization
process Functionality
Class test assurance
Patterns:
Functional/System
Quality
Quality screen
assurance test
FIGURE 6.8 Typical test flow, with designer’s ATPG input and pattern
optimization objectives.
After burn-in, the next step in manufacturing test flow is class test.
This is the final defect screen, so extensive fault coverage is necessary.
Because frequency binning is performed in this step, at-speed tests
are applied here. The class test also includes parametric tests, which
include testing for quiescent current, input/output voltage level, and
slew rates. Built-in self-tests are frequently used at this stage in order
to reduce test application time or to avoid reliance on high-
performance testers.
Finally, system vendors perform a series of inspections to test
incoming chip quality. Such inspections are typically performed not
on every chip but rather on a statistically representative sample of
chips. These inspections are known as quality assurance checks. The
chip manufacturer may also perform quality assurance tests on a
sample of chips in order to ensure the quality of shipped product. In
each of the four steps just described, the set of patterns used is
consistent with the main objective for that particular step.
The scheduling of tests is based on the manufacturing process,
the parametric and measurement environment, and cost issues. For
example, suppose that a fault A can be detected by using either
functional or scan-based test patterns. (This fact may have been
discovered through logic fault simulation during the circuit realization
process.) Suppose further that, in the wafer sort test, scan-based test
patterns were applied and the chip passed this step. It would then be
preferable to apply a high-speed functional test during the class test.
Similarly, a type-X test may be used during the wafer sort whereas a
type-Y test is used during the class test. These choices simply reflect
the strengths and limitations of the particular tests. Choosing
D e f e c t I m p a c t M o d e l i n g a n d Yi e l d I m p r o v e m e n t Te c h n i q u e s 221
appropriate test patterns in each step to improve the effective fault
coverage is sometimes referred to as the test pattern scheduling and
optimization process.
In sum, fault models have two aims: (1) modeling defects at the
highest level of abstraction to facilitate fault simulation and test
pattern generation; and (2) classifying the large number of potential
individual defects into groups of defects that exhibit common failure
mechanisms and have similar effects on circuit behavior.
U1 U2 U3 Un
(a)
U1
U2
U3
Un
(b)
did not scale well beyond single or double errors, since the large
number of such parity bits required negated any benefit from scaling.
The solution that was eventually found required the invention of fuse
technology that allowed “substations” of rows, columns, and blocks.
In this scheme, a spare row, column, or block is added to the memory
array. Fuses can be burnt to swap in, say, a row that replaces the
defective one (see Sec. 6.3.1.6). These techniques have been successfully
deployed in the semiconductor industry for many decades, improving
yields by a factor of 3.17 The spare elements are good examples of
structural redundancy.
Figure 6.10 illustrates structural redundancy for memory ICs.
Memory integrated circuits have cells arranged in rows and columns,
so redundancy here is achieved by adding more columns and rows
than are strictly necessary. During manufacturing test, if a set of
defective cells are identified then the corresponding defective row
and/or column can be disconnected by blowing a fusible link or
fuse.19 The disconnected element is now replaced by a spare element
that uses a programmable decoder with fusible links that are burnt
during the same process. The success of spare rows and columns is
rooted in the clustering of defects. If defects occurred in random
locations, then so many spares would be needed that the probability
of defect would actually increase. Yet because defects are usually
clustered, a single row or column is often sufficient.
With transistor scaling, memory units became larger. Larger units
require more spare elements. Large memory units are divided up into
224 Chapter Six
Spare column
Spare column
Spare column
Memory Memory Memory
block block block
FIGURE 6.10 Spare rows and columns, a structural redundancy technique for
memory blocks.
smaller banks for ease of access and reduced access penalty. In this
case, each bank will have separate spare rows and columns so that
the overall defect tolerance remains under control. However, this
constraint means that some banks cannot get the required number of
spare rows or columns and hence will tend to have reduced yield
compared to those banks that can. The problem could be mitigated by
a more efficient method of allocating redundancy resources. One
solution is to share spares between banks; this way, a particular block
of memory does not become a bottleneck as long as there are unused
redundant blocks in other areas of the memory.
U3
D e f e c t I m p a c t M o d e l i n g a n d Yi e l d I m p r o v e m e n t Te c h n i q u e s 225
The TMR technique has proved to be a effective defect-tolerance
mechanism that increases overall yield. However, TMR improves
reliability only when the reliability of the original block is greater
than 0.5.13 System reliability increases rapidly in response to higher
reliability of each element. In this configuration it is assumed that the
voting block is completely reliable.
The reliability of a TMR system is gated by the reliability of the
voting block. If the voting block is thought to be unreliable, then
voting block redundancy is required; this is illustrated in Figure 6.12.
The basic idea here is to use two or more voting blocks to overcome
the intrinsic unreliability of voting circuits.
In most practical scenarios, each constituent block has a different
level of reliability. In this case, the overall TMR reliability is gated by
the most unreliable unit. The implication is that maximizing TMR
reliability requires that a system be subdivided into nearly equal and
independent blocks.
U3 V3
U2
Un
226 Chapter Six
INPUT OUTPUT
U12 V1 U22 V2 Vn−1 Un2 V
(a)
INPUT OUTPUT
U12 V12 U22 V22 V(n−1)2 Un2 V
(b)
FIGURE 6.14 Cascaded triple modular redundancy: (a) simple TMR with simplex
voters; (b) complex TMR with redundant voters.
INPUT
U3 OUTPUT
Switch
Un
U1
INPUT OUTPUT
Comparator Switch
U2
U1 Comparator
INPUT OUTPUT
U2 Switch
U3
FIGURE 6.17 Configuration for “pair and spare” version of standby redundancy.
U1
OUTPUT
Switch V
U2
INPUT
U3
Detector
Un
Combinational
circuit Latch 1
Recovery if
Comparator
O/P is false
Latch 2
clk clk+ d
FIGURE 6.19 Time redundancy technique for detecting transient errors and
timing failures.
Inter-core queue
Core 1 Core 2
L1 cache L1 cache
Shared L2 cache
Bundle
A
Bundle
U
OUT
Bundle
B
N inputs
each
6.3.1.4 Reconfiguration
A reconfigurable architecture is one that can be programmed after
fabrication to perform a given functionality. With this technique,
faulty components are detected during the testing phase and excluded
during reconfiguration. Reconfigurable architectures have been
explored as possible means of improving tolerance to manufacturing
defects. A good example of this technique is provided by the Teramac,14
which was created by HP labs as an efficient, defect-tolerant,
reconfigurable system. Programmable switches and redundant
interconnects form the Teramac’s backbone. It was observed that, in
the presence of large number of defects, the Teramac was able to
produce results a hundred times faster than conventional computing
engines.
The reconfigurable computing system for defect tolerance relies
on the same concept as field programmable gate arrays (FPGAs).14,15
The FPGAs contain a regular array of logic units, called configurable
logic blocks (CLBs) or look-up tables (LUTs). Each of these blocks can
take the form of any logic function with a given set of inputs and
outputs. Two CLBs capable of implementing different logic functions
with a given set of inputs and outputs are diagrammed in Figure 6.23.
Each CLB can communicate with any other CLB through a regular
Bundle
A
A A
B B
Y Y
C C
D D
106
Multiplexing
105
Level of redundancy
104
103
102
101 N-Modular
Reconfiguration
100
FIGURE 6.24 Failure rate versus level of reduncancy for three redundancy-
based fault tolerance techniques: N-modular redundancy, multiplexing, and
reconfiguration.
6.3.1.6 Fuses
Even when the memory layout is highly optimized, DRAM memories
are known to be susceptible to process defects. The redundancy
techniques described so far have been used extensively to protect
different parts of the memory units, including cells, sense amplifiers,
word line drivers, and decoders.2 Detection of defects typically occurs
in a postfabrication environment and is followed by repair and
redundancy allotment. Detected faulty parts of the memory are
disabled from the actual working portion by burning laser-
programmable fuses. A laser source physically “blows” fuses placed
in different regions of the wafer, thereby disconnecting the defective
portions of the chip and replacing them with spare rows and/or
columns, drivers, and decoder circuitry. The laser fuses are made of
either polysilicon or metal, and they are built in such a way that just
a temporary exposure to a repair laser will blow the fuses accurately.
The fabrication of laser fuses must be precise in both location and
dimensions so that they can be effectively blown out and also make
the required connections/disconnections. Laser fuse patterning also
must obey design layout rules and, of course, satisfy the requirement
that the laser not cause defects in other functionally nondefective
regions surrounding the fuse. To help minimize defects during the
blowing of a laser fuse, the laser fuse heads are carefully placed end-
to-end at a constant spacing on the wafer. Minimizing the number of
fuse rows can also help improve the accuracy and consistency of such
234 Chapter Six
laser repair. Finally, special alignment markings (aka keys) for each
fuse row are used to align the laser repair machine head for each
exposure.
The exposure of a polysilicon link results in less debris than does
the exposure of a metal fuse. Moreover, the polysilicon link ensures a
reliable separation. A laser fuse array is illustrated in Figure 6.25(a).
Figure 6.25(b) shows the blown fuse creating a void (of diameter D)
that is roughly equal to twice the laser wavelength. The diameter D
places a limit on the minimum spacing (fuse pitch) between fuses, for
if fuses are placed within this pitch then adjacent fuses may be
inadvertently blown off. Although shorter wavelengths have better
precision, they increase the probability of damage to the underlying
substrate.24 This may increase the total number of defects in the wafer.
For this application, lasers of shorter wavelengths are avoided.
Decreasing feature widths of fuses will require improvements in
focusing and alignment of laser. The main disadvantage of laser fuses
is the high capital cost of laser repair equipment. Because these tools
cannot be employed in any other process step, the cost of IC production
increases drastically with the use of laser fuses. Fuse devices are
typically used in CMOS chips for implementing redundancy; trimming
capacitors, resistors, and other analog components; and holding
permanent information such as chip-id, decryption keys, and the like.
The electrical fuse or eFuse is another type of programmable
memory unit. Unlike the laser fuse, the eFuse typically uses large
transistors that are blown to program the fuse. A cross section of this
transistor is shown in Figure 6.26.19 There is a layer of thin insulator
material (e.g., oxygen-nitrogen-oxygen25 or amorphous silicon26)
between polysilicon and the metal. An opening is created in this layer
Fuse spacing
D Fuse
void
Substrate Void
Substrate defect
(a) (b)
FIGURE 6.25 Bird’s-eye view (top) and cross-sectional view (bottom) of (a) laser
fuse array and (b) blown fuse array creating a void and possibly a substrate
defect.
D e f e c t I m p a c t M o d e l i n g a n d Yi e l d I m p r o v e m e n t Te c h n i q u e s 235
Oxygen-nitrogen-oxygen Amorphous silicon
Polysilicon
Metal 2
Oxide
N+ Metal 1
Oxide
Oxide
P-Substrate
20
15% reduction in AC
10% reduction in AC
% of yield improvement
5% reduction in AC
15
10
0
1 2 3 4 5 6
Chip area (A) in cm2
IN OUT
NHE
N1
238 Chapter Six
figure by the shaded circle labeled PHE. If a particle strikes near this
region then the electric field increases momentarily, causing an
increase not only in the drain voltage of the pMOS transistor but also
in the charge at the output terminal; this produces an 0–1-0 output
value. The effect is clearly illustrated by an attack of cosmic ray
particles on a chain of inverters; see Figure 6.29.28 The plots in panel
(b) show the desired output and the momentary signal glitch due to
the SEU. Mitigating this effect requires “hardening” the circuit against
such intermittent attacks, as we describe next.
A modified inverter circuit is shown in Figure 6.30.28 This circuit
has input ports IN_P and IN_N that feed the same logic value into the
Particle strike
CLK_IN A B C CLK_OUT
1Ghz signal
(a)
V (CLK_IN)
1
V (A)
0
−1
1.2
V (B)
0.8
0.4
0
1.2
0.8
V (C)
0.4
0
V (CLK_OUT)
1.2
0.8
0.4
0
0 500ps 1ns
Time (sec)
(b)
FIGURE 6.29 (a) Conventional inverter chain under attack from cosmic
radiation; (b) waveforms illustrating propagation of the resulting soft error.
D e f e c t I m p a c t M o d e l i n g a n d Yi e l d I m p r o v e m e n t Te c h n i q u e s 239
P2 N2
OUT_N
IN_N NHE
N1
IN_P OUT_P
IN_N OUT_N
6.4 Summary
In this chapter we studied various techniques for yield improvement.
Yield is the proportion of good chips to all manufactured chips.
Defective chips are caused by mask alignment problems, variation in
manufacturing parameters, particulate defects related to chemical
processes, improper use of equipment, and handling errors. Two
important observations made in this chapter are that defect locations
are correlated with certain layout patterns and that many
manufacturing defects occur in clusters. Solutions to the yield
problem are based on fault avoidance, analysis of faulty behavior,
240 Chapter Six
Particle Strike
0
1
V (A_P)
0
−1
1.2
V (CLK_OUT) V (A_N)
0.8
0.4
0
1.2
0.8
0.4
0
0 500ps 1ns
Time (sec)
(b)
FIGURE 6.31 (a) Radiation-hardened inverter chain under particle attack; and
(b) wavesforms illustrating mitigation of the soft error propagation.
References
1. W. Maly, A. J. Strojwas, and S. W. Director, “VLSI Yield Prediction and
Estimation: A Unified Framework,” IEEE Transactions on Computer Aided Design
5(1): 114–130, 1986.
2. V. P. Nelson and B. D. Carrol, Fault-Tolerant Computing, IEEE Computer Society
Press, Washington DC, 1987.
3. M. L. Bushnell and V. D. Agarwal, Essentials of Electronic Testing for Digital,
Memory, and Mixed-Signal VLSI Circuits, Springer, New York, 2000.
4. N. K. Jha and S. Kundu, Testing and Reliable Design of CMOS Circuits, Kluwer,
Dordrecht, 1990.
5. M. Sachdev, Defect Oriented Testing for CMOS Analog and Digital Circuits, Kluwer,
Boston, 1998.
6. J. M. Acken and S. D. Millman, “Fault Model Evolution for Diagnosis: Accuracy
vs. Precision,” in Proceedings of Custom Integrated Circuits Conference, IEEE, New
York, 1992, pp. 13.4.1–13.4.4.
7. G. Greenstein and J. Patel, “EPROOFS: A CMOS Bridging Fault Simulator,”
in Proceedings of International Conference on Computer-Aided Design, IEEE, New
York, 1992, pp. 268–271.
8. J. M. Acken, “Testing for Bridging Faults (Shorts) in CMOS Circuits,”
in Proceedings of Design Automation Conference, IEEE, New York, 1983,
pp. 717–718.
9. J. M. Acken and S. D. Millman, “Accurate Modeling and Simulation of Bridging
Faults,” in Proceedings of Custom Integrated Circuits Conference, IEEE, New York,
1991, pp. 17.4.1–17.4.4.
10. S. D. Millman and J. P. Garvey, “An Accurate Bridging Fault Test Pattern
Generator,” in Proceedings of International Test Conference, IEEE, New York, 1991,
pp. 411–418.
11. J. Rearick and J. Patel, “Fast and Accurate CMOS Bridging Fault Simulation,” in
Proceedings of International Test Conference, IEEE, New York, 1993, pp. 54–62.
12. F. J. Ferguson and T. Larabee, “Test Pattern Generation for Realistic Bridge
Faults in CMOS ICs,” in Proceedings of International Test Conference, IEEE, New
York, 1991, pp. 492–499.
13. K. Nikolić, A. Sadek, and M. Forshaw, “Fault-Tolerant Techniques for
Nanocomputers,” Nanotechnology 13: 357–362, 2002.
14. J. R. Heath, P. J. Kuekes, G. S. Snider, and R. S. Williams, “A Defect-Tolerant
Computer Architecture: Opportunities for Nanotechnology, “ Science 280:
1716–1721, 1998.
15. J. Lach, W. H. Mangione-Smith, and M. Potkonjak, “Low Overhead Fault-
Tolerant FPGA Systems,” IEEE Transactions on Very Large Scale Integrated Systems
6: 212–221, 2000.
16. D. Ernst, N. S. Kim, S. Das, S. Pant, T. Pham, R. Rao, C. Ziesler, et al., “Razor: A
Low-Power Pipeline Based on Circuit-Level Timing Speculation,” in Proceedings
of International Symposium on Microarchitecures, IEEE/ACM, New York, 2003,
pp. 7–18.
17. I. Koren and C. Mani Krishna, Fault-Tolerant Systems, Morgan Kaufmann, San
Mateo, CA, 2007.
18. J. von Neumann, Probabilistic Logics and the Synthesis of Reliable Organisms from
Unreliable Components, Princeton, NJ: Princeton University Press, 1955, pp.
43–98.
19. T. P. Haraszti, CMOS Memory Circuits, Springer, New York, 2000.
20. M. Goessel, V. Ocheretny, E. Sogomonyan, and D. Marienfeld, New Methods of
Concurrent Checking, Springer, New York, 2008.
21. M. Nicolaidis, “Time Redundancy Based Soft-Error Tolerance to Rescue
Nanometer Technologies,” Proceedings of VLSI Test Symposium, IEEE, New York,
1999, pp. 86–94.
22. A. Pan, O. Khan, and S. Kundu, “Improving Yield and Reliability in Chip
Multiprocessors,” in Proceedings of Design Automation and Test in Europe, IEEE,
New York, 2009.
242 Chapter Six
7.1 Introduction
The long-term reliability of integrated circuits has become an
important concern as today’s devices approach the end of the CMOS
technology roadmap. Scaling of feature size coupled with variability
in manufacturing process has lead to increased reliability problems.
Semiconductor ICs in consumer and business sectors are typically
engineered to last for about ten years. In satellite and space systems,
or in mission-critical applications, product life expectations may be
much longer. In order to improve the reliability of semiconductor
products, the underlying failure mechanisms must be clearly
understood. Some reliability failures stem solely from manufacturing
problems. Physical corrosion due to leaks and moisture, electrical
leakage, package encapsulation problems, and/or loose bonding are
examples of manufacturing issues that degrade IC reliability. Other
reliability failures are rooted in design. High-current density, improper
input/output (IO) terminations, and poorly designed heat sinks are
examples of chip and package design issues that contribute to
reliability failures. Here we are primarily concerned with reliability
problems that are related to the design process, so we focus on
changes in design that can affect overall chip reliability.
In a typical design system, reliability guidelines are the principal
mechanism for guarding against reliability failures. These guidelines
are designed to provide enough margin to prevent reliability failures.
For example, interconnect electromigration failures are related to
current density, which in turn is a function of interconnect width. For
a given driver strength, a conductor should thus be sized to minimize
current density, thereby averting electromigration failures. Similar
guidelines guard against other failure mechanisms. In order to
formulate a comprehensive set of guidelines, failure analysis of test
chips and actual chips must be conducted so that the design attributes
that give rise to the failures are identified. Reliability guidelines are
243
244 Chapter Seven
Normal life
– Constant failure rate
Time
Gate oxide breakdown is the rupture of the oxide layer, which can
lead to reliability problems. An oxide layer (typically silicon dioxide)
serves as a dielectric material between the gate and the channel, for
shallow trench isolation, and as an interlayer dielectric between metal
lines. Oxide breakdowns occur when a high voltage applied across the
oxide causes a permanent conduction path through the oxide where
the current flows. With technology scaling, the thickness of gate
dielectric materials have been reduced to less than 20 Å, which makes
the gate oxide layer more susceptible to reliability problems.
Reliability problems can also manifest as errors that cause gradual
degradation of the device. Such mechanisms require that the device
state be maintained for a prolonged period in order for the error to
manifest itself. Hot carrier effects and negative bias temperature
instability (NBTI) are examples of gradual reliability degradation
mechanisms. In the presence of a strong electric field, the electrons
and holes present in the semiconductor material tend to be accelerated.
These high-energy carriers may jump to the oxide region and form
pockets (aka interface traps) in the devices that store charge. Charge
traps in the oxide change the device’s threshold voltage and trans-
conductance, leading to performance-related failures. Channel traps
can be caused by substrate effects and secondary hot carrier effects,
and they also depend on the temperature of the device under
operation. Hot carrier effects have a greater impact on nMOS devices
than on other components.
Negative bias temperature instability affects pMOS devices.
When a pMOS transistor is negatively biased (i.e., negative gate-to-
source voltage) and under high temperature, its threshold voltage
increases; this increase affects transistor ON current and device
performance. Interface traps that manifest in surfaces of the device
lead to performance changes during circuit operation. Trapped
charges are contributing factors in both hot carrier injection and
NBTI. The physics of NBTI has been hypothesized by several
researchers,1-7 and NBTI effects (unlike those of hot carrier injection)
may be reversed under nonnegative bias conditions and lower
temperatures.
The reliability effects mentioned thus far include permanent
failures in circuit logic and timing that arise with aging. In contrast,
reliability effects that cause intermittent failures in circuit operation
are known as soft errors, which are usually not associated with aging.
Nonetheless, soft errors are interesting from the perspective of design
for manufacturability. For example, increased load capacitance
relative to driver strength can increase resilience to soft errors but
may also cause performance problems. In addition, large diffusion
areas may lead to more soft error–related issues. Thus, soft errors can
be addressed by attending to DFM considerations.
Modeling and simulation of reliability effects is key to improved
design for yield. With increasing device and interconnect variability,
Physical Design and Reliability 247
reliability problems become more pronounced. Reliability simulators
attempt to predict device lifetime as a function of design parameters.
Such analysis facilitates better design for reliability, which is the focus
of this chapter. We shall also discuss reliability test techniques.
7.2 Electromigration
Electromigration (EM) is the most widely known reliability failure
mechanism, and it has generated much research interest over the past
four decades. Electromigration failures are related to current density.
Thus, EM failures are more likely for thin power supply lines in a
chip, and they may occur in signal interconnects when a thin wire is
driven by a relatively large driver. Electromigration problems are also
related to properties of conductors—for example, EM problems for
aluminum interconnects are worse than for copper interconnects of
similar dimensions. Aluminum was the preferred metal for inter-
connects before the 250-nm technology node. This preference was
based primarily on its conductivity, cost, and manufacturability.
However, aluminum is highly susceptible to EM failures. The
introduction of copper interconnects was motivated both by higher
conductivity and reduced EM problems. Yet as feature widths scale
and the current density increases, EM for copper interconnects also
become a significant concern.
Electromigration is defined as the migration or displacement of
atoms due to the movement of electrons through a conducting
medium in the presence of an electric field.8,9 The flow of current
through a conductor creates an equal “wind” of electrons in the
opposite direction, which causes the metallic (aluminum or copper)
atoms to be displaced toward the positive end. When atoms are
displaced, vacancies are created that move toward the negative end
of the conductor. These vacancies join to form voids, which reduce
the conductor’s cross section. Any reduction in conductor width will
increase current density, which leads to localized heating. Prolonged
localized heating in such regions of reduced width causes EM failures
such as full and resistive opens. The atoms that migrate to the positive
end may increase the cross section of the conductor by forming
hillocks, which lead to bridges between adjacent conductors.
Figure 7.2 shows the formation of opens and shorts due to EM
failures.
Electromigration failures can be observed in metal interconnects,
contacts, and gate polysilicon lines. Electromigration manifests itself
as either an open defect or an increase in line resistance. With
polysilicon lines, voids are caused by the dissipation of phosphorus
atoms. In the presence of high temperature and a steady flow of
current, the process of EM-induced conductor failure is accelerated.
All EM-induced failures are a function of mass transport, temperature
gradient, current density, metal dimension, and contact cross section.
248 Chapter Seven
§ E ·
MTTF AJ n exp ¨ a ¸ (7.1)
© k BT ¹
CuV
Jv f sp (7.2)
WuH
Metal wires and vias of smaller width have higher current density
and thus greater susceptibility to EM failure, which leads to a lower
MTTF value. A typical scenario for an EM failure is a large gate
driving a steady current through a thin interconnect line.
Improper contact cross section leads to “current crowding” that
causes localized heating and temperature gradients. Another reli-
ability issue that has become a major concern is junction spiking. (See
Figure 7.3, which is derived from Sabnis.11) The contact material
(metal) punches through the semiconductor and forms spikes. This is
observed most often in contacts with low junction depth. Junction
spiking and the formation of voids due to silicon migration are the
chief EM failure modes in contacts and vias. The mean time to failure
for contact and vias is given by the following relation:11
n
§ I · § E ·
MTTF v X d2 ¨ ¸ exp ¨ a ¸ (7.3)
©W ¹ © k BT ¹
Source/Drain
Impact
Scattering
ionization
Hot electron injection
Charge injection in
gate oxide
Localized
oxide damage
Gate oxide
breakdown
Physical Design and Reliability 251
(in the channel) or by impact ionization (avalanche at the drain). The
increased energy of the hot carriers exceeds the potential at the oxide-
channel boundary, allowing the carriers to tunnel through it. These
tunneling carriers form interface traps that, over time, increase in
number. Once a critical number of trap states is reached, the result
may be an electrical path through the oxide, leading to device
breakdown. There are multiple injection mechanisms, which are
described in what follows. Sections 7.3.1 to 7.3.4 provide a description
of hot carrier generation, trap generation, device degradation, and
mitigation strategies.
Source Drain
Oxide
Bulk/Substrate
VG< VD VB
252 Chapter Seven
Source Drain
Bulk/Substrate
Source Drain
Oxide
Bulk/Substrate
VB
Physical Design and Reliability 253
bulk current drifts. When a bulk bias voltage is applied, some of these
secondary electron-hole pairs are reflected back toward the channel,
penetrating into the oxide.
0.5 VG = 3V
VG = 2V
0.1
1 2 3 4 5
VD (volts)
254 Chapter Seven
§ E ·
tTDDB A exp ¨ a BoxV ¸ (7.5)
© kTref ¹
p+
Bulk/Substrate
VDD OUT
X1
VDD−Vth
Transistor being
A M1
protected from
HCI degradation
256 Chapter Seven
OUT
A
N1
B
N2
Si-H h R Si H 0 (7.6)
where h+ denotes the holes in the channel region. This is the reaction
dominated phase of the NBTI. In this phase, the number Nit of interface
traps generated is modeled in terms of the rate of bond breaking, the
rate of trap generation, and the number of hydrogen atoms diffused
toward the gate. The rate of change in the number of interface traps is
a function of several factors:
dN it
v kr , kh , N 0 , N it , N H0 2 (7.7)
dt
Here kr and kh are (respectively) the reaction rates for reverse reaction
(also known as the hydrogen annealing rate) and the rate at which the
hydrogen atoms separate from Si-H to form hydrogen molecules
(also known as bond-breaking rate), N 0 is the initial Si-H density, and
N0H is the initial hydrogen density at the Si-SiO2 interface.
2
+ Interface trap
h + Holes
Si, H Silicon and hydrogen atoms
258 Chapter Seven
dN H2 dN H2
v D2 (7.8)
dt dx 2
q⌬N it (t)
⌬VT-p (t ) mob 1 (7.10)
Cox
where q is the charge in the channel and Cox is the oxide capacitance.
The μmob term is included because traps in the Si-SiO2 interface also
change the mobility of the device.
VDD VDD
+
HHHHH
+ h+ +
HH
0 + h+ VDD +
+ h+
+ +
H
VDD VDD
Transistor
Transistor under dynamic
under static NBTI stress
NBTI stress
A= 0 P1
A
P1 P2
B=1 P2
OUT
OUT
A
N1
A B
N1 N2
B
N2
(a) (b)
where it is evident that the pMOS transistor is under stress when its
input voltage is 0. In active circuits, the gate voltage changes between
0 and VDD during proper circuit operation. For a pMOS device, NBTI
degradation occurs when gate voltage VG is 0; the recovery occurs
when VG = VDD. This means that dynamic circuit operation alternates
between stress and recovery phases, as shown in Figure 7.15.6
Figure 7.14(b) illustrates the case of dynamic NBTI stress applied to a
transistor. The gate delay due to VT variation is found to be highest
when P1 switches after being under stress for a prolonged period.
30
25
20
|ΔVT| (mV)
15
10 Stress Stress
Recovery Recovery
5
0
0 1000 2000 3000 4000
Time (s)
|ΔVT| (mV) 25
20
1
5
0
−30 −20 −10 0 10 20 30
Variation Percentage (%)
n+ source n+ drain
Metal
filament
p+
Bulk/Substrate
n+ source n+ drain
p+
Bulk/Substrate
Physical Design and Reliability 263
mean time to failure (MTTF). The FIT metric specifies the number of
soft errors encountered per billion hours of operation, whereas MTTF
reports the SER in terms of the number of years before an IC fails due
to soft error. As mentioned previously, SER is not strongly related to
aging but is a good measure of the reliability of a circuit. The soft
error rate is not related to chip yield, although it, too, can be addressed
through design considerations at the circuit or system level.
7.8 Summary
In this chapter we reviewed reliability issues that affect contemporary
ICs. The objective was to review important reliability mechanisms
that lead to aging and permanent failure of devices. We examined
failure mechanisms that are parametric (such as HCI and NBTI),
recoverable (such as NBTI), and intermittent (such as soft errors).
Device reliability is a product of design and manufacturing robustness.
Design for reliability (DFR) provides protection against reliability
failures when such failures can be modeled, which underscores the
importance of reliability modeling. In this chapter, we reviewed
various reliability models that are used to predict aging or to identify
circuit vulnerabilities. It was observed that, because DFR techniques
impose costs related to area, performance, and power, their use
should be targeted selectively.
References
1. M. A. Alam and S. Mahapatra, “A Compreshensive Model of pMOS NBTI
Degradation,” Microelectronics Reliability 45: 71–81, 2005.
2. V. Reddy et al., “Impact of Negative Bias Temperature Instability on Digital
Circuit Reliability,” in Proceedings of IEEE International Reliability Physics
Symposium, IEEE, New York, 2002, pp. 248–254.
266 Chapter Seven
8.1 Introduction
The previous chapters in this text have established that design for
manufacturability (DFM) is not just a back-end concern. Optical
proximity correction, double patterning, phase shift masking, and
other resolution enhancement techniques (RETs) for defect avoidance
cannot be decoupled from the physical design process, because some
designs cannot simply be “cleaned up” and therefore require redesign.
As designers are brought onboard into DFM iterations, design
productivity becomes a concern. This productivity must be seen from
two aspects: information and tools. The information package must
contain process parameter variations (i.e., printed shape rep-
resentations at various process corners) or the distribution of these
variations. Typically, not all this information can be deciphered by the
designer because it requires additional knowledge of the manu-
facturing process and parameters that relate to analysis. Electronic
design automation companies and in-house CAD tools seek to
provide a bridge between manufacturing specifications, process
variabilities, and corresponding design parameter variation by
encapsulating this knowledge in technology libraries. Computer-
aided design tools are an integral part of the semiconductor design
process. During each stage of design, CAD tools perform design
transformations guided by analysis and/or empirical and encap-
sulated knowledge geared to improving the design realization pro-
cess. Traditionally, designs were guided by the triad of area,
performance, and power metrics. However, because of functional
and parametric yield concerns as well as the complexity of DFM
compliance, the goals of manufacturability, variability, and reliability
269
270 Chapter Eight
Original wire
(a) (b)
FIGURE 8.2 Lithography-informed routing: (a) wire spreading; (b) wire widening.
Design for Manufacturability 275
metal lines is reduced by slotting. This procedure removes certain
regions (e.g., power rails and fill oxide) of a metal line to improve
planarity (see Figure 5.17).
Increased Conservative
mean timing (non-
delay statistical case)
Probability density
+3s delay
Worst case
delay
0.065
Probability density
0.055
0.045
0.035
0.025
mostly associated with DFM, but they may also be used as design
parameters when optimizing for power, leakage, and performance.
Circuit designers have a number of choices for optimizing a
circuit. Selecting threshhold voltage, transistor sizing, gate biasing,
and strain have already been mentioned as factors that affect device
optimization. Similarly, layout optimization relies on interconnect
modifications, buffer insertion, and logic changes such as negate-
invert. Final design yield and performance is a function of all these
choices. Figure 8.5 portrays a grand vision of optimization flow. It
includes a circuit netlist and/or the design layout as input to the
engine, which incorporates information on circuit parameter vari-
ability and the required parameter and yield goals. The optimization
engine modifies various attributes of the design to generate a final
optimized design that satisfies the required goals. There are many
engines that addresses some aspect of optimization, and they are still
evolving.
Optimization engines have been in existence for the past decade
or so. The DFM technique takes VT variation and the strain factor into
account when optimizing timing and leaking power. In contrast,
optimizing yield based on layout critical areas has evolved into more
lithography-aware techniques for subwavelength patterning. All
optimization techniques aim to minimize or maximize a particular
function whose limits are defined by the constituent parameter
specification. This function is typically referred to as the tool’s cost
function. After each iteration, a new cost is calculated and compared
to the existing cost; further iteration is typically not allowed if the
change in cost does not support the final goal. Optimization is
complete when the final cost has been minimized and all the target
parameters are within specifications.
Parameter &
Optimization
yield goals
engine
Input
design Functions
database • Yield metric Design constraints,
• Cost function parameter
variability, DRC
Optimized
design
50
0.95 40
IOFF (normalized)
Switching delay
30
(normalized)
0.85
20
0.75
10
0.65
0
FIGURE 8.6 Leakage and switching delays for various combinations of VT- and
stress-based optimization for a three-input NOR gate.
282 Chapter Eight
References
1. Artur Balasinki, “A Methodology to Analyze Circuit Impact of Process Related
MOSFET Geometry,” Proceedings of SPIE 5378: 85–92, 2004.
2. S. D. Kim, H. Wada, and J. C. S. Woo, “TCAD-Based Statistical Analysis and
Modeling of Gate Line-Edge Roughness: Effect on Nanoscale MOS Transistor
Performance and Scaling,” Transactions on Semiconductor Manufacturing 17:
192–200, 2004.
3. Wojtek J. Poppe, L. Capodieci, J. Wu, and A. Neureuther, “From Poly Line to
Transistor: Building BSIM Models for Non-Rectangular Transistors,” Proceedings
of SPIE 6156: 61560P.1–61560P.999, 2006.
4. Ke Cao, Sorin Dobre, and Jiang Hu, “Standard Cell Characterization Considering
Lithography Induced Variations,” in Proceedings of Design Automation Conference,
IEEE/ACM, New York, 2006.
5. Sean X. Shi, Peng Yu, and David Z.Pan, “A Unified Non-Rectangular Device
and Circuit Simulation Model for Timing and Power”, in Proceedings of
International Conference on Computer Aided Design, IEEE/ACM, New York, 2006,
pp. 423–428.
6. A. Sreedhar and S. Kundu, “On Modeling Impact of Sub-Wavelength
Lithography,” in Proceedings of International Conference on Computer Design,
IEEE, New York, 2007, pp. 84–90.
7. A. Sreedhar and S. Kundu, “Modeling and Analysis of Non-Rectangular
Transistors Caused by Lithographic Distortions,” in Proceedings of International
Conference on Computer Design, IEEE, New York, 2008, pp. 444–449.
8. Ritu Singhal et al., “Modeling and Analysis of Non-Rectangular Gate for Post-
Lithography Circuit Simulation,” Proceedings of Design Automation Conference,
IEEE/ACM, New York, 2007, pp. 823–828.
9. Puneet Gupta, Andrew Kahng, Youngmin Kim, Saumil Shah, and Dennis
Sylvester, “Modeling of Non-Uniform Device Geometries for Post-Lithography
Circuit Analysis,” Proceedings of SPIE 6156: 61560U.1–61560U.10, 2006.
10. Puneet Gupta, Andrew B. Kahng, Youngmin Kim, Saumil Shah, and Dennis
Sylvester, “Investigation of Diffusion Rounding for Post-Lithography Analysis,”
in Proceedings of Asia and South-Pacific Design Automation Conference IEEE, New
York, 2008, pp. 480–485.
11. Robert Pack, Valery Axelrad, Andrei Shibkov et al., “Physical and Timing
Verification of Subwavelength-Scale Designs, Part I: Lithography Impact on
MOSFETs,” Proceedings of SPIE 5042: 51–62, 2003.
12. Puneet Gupta, Andrew B. Kahng, Sam Nakagawa, Saumil Shah, and Puneet
Sharma, “Lithography Simulation-Based Full-Chip Design Analyses,”
Proceedings of SPIE 6156: 61560T.1–61560T.8, 2006.
13. A. Balasinski, L. Karklin, and V. Axelrad, “Impact of Subwavelength CD
Tolerance on Device Performance,” Proceedings of SPIE 4692: 361–368, 2002.
14. S. Shah et al., “Standard Cell Library Optimization for Leakage Reduction,” in
Proceedings of ACM/IEEE Design Automation Conference, ACM/IEEE, New York,
2006, pp. 983–986.
15. A. B. Kahng, C.-H. Park, P. Sharma, and Q. Wang, “Lens Aberration Aware
Placement for Timing Yield,” in Proceedings of ACM Transactions on Design
Automation of Electronic Systems 14: 16–26, 2009.
16. V. Joshi, B. Cline, D. Sylvester, D. Blaauw, and K. Agarwal, “Leakage Power
Reduction Using Stress-Enhanced Layouts,” in Proceedings of Design Automation
Conference, ACM/IEEE, New York, 2008, pp. 912–917.
17. M. Mani, A. Singh, and M. Orshansky, “Joint Design-Time and Post-Silicon
Minimization of Parametric Yield Loss Using Adjustable Robust Optimization,”
in Proceedings of IEEE/ACM International Conference on Computer Aided Design,
IEEE/ACM, New York, 2006, pp. 19–26.
18. M. Cho, D. Z. Pan, H. Xiang, and R. Puri, “Wire Density Driven Global Routing
for CMP Variation and Timing,” Proceedings of IEEE/ACM International Conference
on Computer Aided Design, IEEE/ACM, New York, 2006, pp. 487–492.
19. K. Jeong et al., “Impact of Guardband Reduction on Design Process Outcomes,”
in Proceedings of IEEE International Symposium on Quality Electronic Design, IEEE,
New York, 2008, pp. 790–797.
286 Chapter Eight
A aperture-filtered intensity
Aberration distribution, 56
Astigmatism, 78, 79 application-specific integrated
Coma, 78, 79 circuits (ASICs), 118, 130, 138
Chromatic, 78 auger electron spectroscopy
Longitudinal, 78 (AES), 204
Mono-chromatic, 78 automatic test equipment
Piston, 78, 79 (ATE), 201
Spherical, 78, 79 automatic test pattern
Transverse, 78 generation (ATPG), 212, 216,
absorption coefficient, 51, 60, 69 218, 219
abstract fault modeling
(AbsFM), 215 B
across-chip linewidth variation back-bias voltage, 252
(ACLV), 73, 273 back-end, 259, 270
AdomB, 217 back-gate biasing, 90
aerial image, 48, 55, 57, 58 back-scattered [a], 190
alternating phase shift masking ballistic transport, 6
(AltPSM) ,121, 122 band-to-band, 3
attenuating phase shift masking bath tub curve, 244, 264
(AttPSM), 121, 123 binary image mask (BIM), 36,
AND bridging, 217 120, 150
angle-resolved spectrometry, 194 binomial distribution, 172
antenna diode, 137 body biasing, adaptive, 237
antenna effect, 135 body effect, 3, 4
antenna-inserted design, 105 Bossung plot, 74, 109
antenna rules, 104, 105, 136 bottom antireflection coating
anti-etching layer, 30 (BARC), 32
antifuses, 235 breakdown, 246, 250, 254, 261, 265
287
288 Index
high-to-low transition J
time, 98 Jogs, 13, 117, 118, 144, 177, 282
hot carrier effects, 246, 250 joule heating, 262
hot carrier injection (HCI), 250, jumper insertion, 137, 138
251–56
hotspot, 24, 129–34, 139–41, K
203, 274, 275, 282 Köhler illumination technique,
hotspot detection, 129, 130 38, 39, 53, 54,
Huygens-Fresnel principle, 41
L
I L-shapes, 129
imaging process tolerance, 109 laser-programmable fuses,
imaging system kernels, 58, 233, 234
59, 115 latching time windows, 263
immersion lithography, 14, 15, latent image, 51
33, 142, 202 lateral stress, 96, 97
indium gallium arsenide, 163 layout-fill-OPC
indium phosphide, 27, 163 convergence, 105
inflection-point layout printability verification
techniques, 189 (LPV), 130, 132
information redundancy, 24, 221, leakage current, 8, 20, 64, 65, 80,
222, 228, 81, 251
in-line (metrology), 159, 186, length of diffusion, 96, 97
187, 197 lens-aberration (see Aberration)
input signal scheduling, 256 lens field, 75, 78, 79
in-situ (metrology), 159, 186, lens RET, 114
187, 198, 199 light-field masks, 120, 143
interatomic, 9 light intensity fluctuations, 84,
interdie, 67 light source wavelength, 41,
interface traps, 166, 246, 50, 120,
251–58 light wave, 13, 32, 40, 113, 121,
interference contrast 124, 201,
illumination, 202 lightly doped drain (LDD), 255
interlayer dielectric (ILD), 2, 9, line edge roughness (LER), 21,
93, 94, 116, 128, 129, 159, 52, 67, 82–86, 103, 104, 159,
246, 274 182, 183, 196, 273, 284,
intracell routing issues, 88, line end pullback, 73, 88
134, 284 line open fault, 183, 213, 214
intradie, 67, 68 line short fault, 183, 213
inverse lithography technology line shape, 93, 182
(ILT), 114, 148–52 line width roughness (LWR),
isolated patterns, 75, 178 21, 52
isodense bias, 109, 111 linewidth variation, 69, 71,
ITRS, 2, 17, 51, 66 73–75, 82, 84, 96, 109, 116,
I-V analysis, 201 129, 135, 143, 145, 236, 273
I-V characteristics, 253 linewidth-based yield, 179, 181,
I-V curves, 19 182
292 Index
118, 120, 124, 128–35, 141, 148, photoacid generators (PAGs), 32,
151, 152, 176, 177, 184, 194, 60, 84
209, 236, 272, 273, 275, 276, photo resist strip, 29, 145, 163
282, 283 physics-based models, 55, 60
overlay (errors), 20, 31, 67, 68, pitch, 72–75, 98, 103, 109, 116,
103, 104, 127, 130, 142–44, 146, 118, 119, 121, 125, 127, 128,
187, 196, 197 133, 134, 138, 142, 143, 144,
overlay metrology, 195 148, 234, 271
overlay patterns, 196 pitch-dependent linewidth
oxidation, 27, 63, 88, 91, 96, 158, variation, 116
162, 163, 276, planar gate, 5
oxide-hydrophobic chemistry, planarization length, 93, 94
163 plastic ball grid array (PBGA),
158
P polishing pad speed, 93,
p+ channels, 255, 262, poly line end contacts, 134
p-n junction, 262 polysilicon, 5, 28, 35, 64, 70, 87,
parameter-centric models, 55 132, 196, 198, 233, 234, 235,
parameter variability ranges, 17 245, 247, 257, 262, 271, 276
partial coherence, 53, 55, 56, positive bias temperature
partial coherence factor, 55 instability (PBTI), 18, 19
partially coherent imaging, 53, positive tone process, 143
54, 56, 58, 59 postexposure bake (PEB), 29,
particle impact noise detection 32, 52, 56, 57, 59, 60, 84,
(PIND) systems, 202 107, 109
particulate-induced defects, 159, power supply lines, 247, 254
161–65, 175, 204 precision-to-tolerance ratio, 188
particulate yield model, 172 predistortion, 114
path delay faults, 20, 216, 217 preexposure (soft) bake, 30
pattern density, 22, 68, 88, 93–96, pre-PEB latent image, 51, 57
107, 122, 124, 144, 146, 178, probability of failure (POF),
184–86, 196, 204, 274, 275 168, 171
pattern fidelity issues, 21, 23 process response space, 179,
pattern matching, 129, 275 180, 181
performance guard bands, 277 process-state sensors, 187, 199
phase assignable mask, 122, process window, 103,107–09,
124, 177 111–13, 118, 119, 130,
phase assignment conflict, 134 138, 181
phase shift masking (PSM), 13, projection printing, 40,
113, 121, 131, 133, 134, 143, 47–51, 78
150, 176, 184, 272, 275, 282, propagation delay, 1, 85,
alternating (AltPSM), 121, 237, 281
122, 177 proximity effect, 68, 71–73, 87,
attenuating (AttPSM), 114–16, 176, 182, 274,
121–24 proximity printing, 47, 48
phenomenological models. 55. pullback, 73, 87, 88
56. 60 punch-through, 213, 261, 262
294 Index
T W
technology CAD (TCAD), 16, wafer handling errors, 20, 69,
275, 276 107, 158, 162
temporal coherence, 53 wafer sort test, 158, 209, 219, 220,
296 Index
AccEssEng1neenng
Authontat1ve content · Immediate solutions
c:il I Green/Sustainable
-
!lt$4111d'!ARCOflttnt
I Industrial
OS.ata1 OlctioNry
I Material Science
· -...
..
I Mechanical
· �·-
I Nanotechnology
I Optic.al
------
-...
___
-·------·
·=--
-
-
-
_,...--··- 5-• -
_, ... - -·-·-- ...
.. - ... �
_,, ....-..-..- ·
� �
llE==;:::i:=m!
--
- ----- ••
- � --
_, --
--