Quartus Install 4
Quartus Install 4
Version 9.0
Altera Corporation
101 Innovation Drive
San Jose, CA 95134
(408) 544-7000
www.altera.com
Quartus II Installation & Licensing for Windows and Linux Workstations
Version 9.0 MNL-01044-1.0
Altera, the Altera logo, MAX, MAX+PLUS, MAX+PLUS II, MegaCore, NativeLink, Quartus, Quartus II, the
Quartus II logo, and Stratix are registered trademarks of Altera Corporation in the United States and other
countries. ByteBlaster, ByteBlasterMV, Cyclone, LogicLock, and MasterBlaster are trademarks and/or service
marks of Altera Corporation in the United States and other countries. Product design elements and mnemonics
used by Altera Corporation are protected by copyright and/or trademark laws. Altera Corporation
acknowledges the trademarks and/or service marks of other organizations for their respective products or
services mentioned in this document, specifically: Mentor Graphics and ModelSim are registered trademarks
of Mentor Graphics Corporation.
Altera reserves the right to make changes, without notice, in the devices or the device specifications identified
in this document. Altera advises its customers to obtain the latest version of device specifications to verify,
before placing orders, that the information being relied upon by the customer is current. Altera warrants
performance of its semiconductor products to current specifications in accordance with Altera’s standard
warranty. Testing and other quality control techniques are used to the extent Altera deems such testing
necessary to support this warranty. Unless mandated by government requirements, specific testing of all
parameters of each device is not necessarily performed. In the absence of written agreement to the contrary,
Altera assumes no liability for Altera applications assistance, customer’s product design, or infringement of
patents or copyrights of third parties by or arising from use of semiconductor devices described herein. Nor
does Altera warrant or represent any patent right, copyright, or other intellectual property right of Altera
covering or relating to any combination, machine, or process in which such semiconductor devices might be or
are used.
Altera products are not authorized for use as critical components in life support devices or systems without the
express written approval of the president of Altera Corporation. As used herein:
1. Life support devices or systems are devices or systems that (a) are intended for surgical implant into the body
or (b) support or sustain life, and whose failure to perform, when properly used in accordance with instructions
for use provided in the labeling, can be reasonably expected to result in a significant injury to the user.
2. A critical component is any component of a life support device or system whose failure to perform can be
reasonably expected to cause the failure of the life support device or system, or to affect its safety or
effectiveness.
Altera products are protected under numerous U.S. and foreign patents and pending
applications, maskwork rights, and copyrights.
Typographic Conventions
Quartus II software documentation uses the typographic conventions
shown in the following table:
Bold Initial Command names; dialog box, page, and tab titles; and button names
Capitals are shown in bold with initial capital letters. For example: Find Next
command, Save As dialog box, and Start button.
bold Directory, project, disk drive, file, software utility, and software
executable names; software utility names; and options in dialog
boxes are shown in bold. For example: quartus directory, d: drive,
and license.dat file.
Initial Capitals Keyboard keys, user-editable application window fields, windows,
views, and menu names are shown with initial capital letters. For
example: Delete key and the Options menu.
“Subheading Subheadings within a manual section are enclosed in quotation
Title” marks. In manuals, titles of Help topics are also shown in quotation
marks. For example: For more information, see “Exporting and
Importing Version-Compatible Database Files” in Quartus II Help.
Italic Initial Help categories, manual titles, section titles in manuals, and
Capitals application note and brief names are shown in italics with initial
capital letters. For example: Introduction to the Quartus II Software
manual.
italics Variables are enclosed in angle brackets (< >) and shown in italics.
For example: <file name> and <DVD-ROM drive>.
Courier font Anything that must be typed exactly as it appears is shown in
Courier. For example: \quartus\bin\lmutil lmhostid.
r Enter or return key.
■ Bullets are used in a list of items when the sequence of the items is
not important.
v The checkmark indicates a procedure that is one step only.
ALTERA CORPORATION INSTALLATION & LICENSING FOR WINDOWS AND LINUX ■ VII
DOCUMENTATION CONVENTIONS
Terminology
The following table shows terminology that is used throughout this manual:
Term Meaning
click Indicates a quick press and release of the left mouse button. It
also indicates that you need to use a mouse or key combination
to start an action.
double-click Indicates two clicks in rapid succession.
select Indicates that you must highlight text and/or objects or an
option in a dialog box with a key combination or the mouse. A
selection does not start an action. For example: Select Chain
Description File, and then click OK.
point Indicates that you must position the mouse pointer, without
clicking, at an appropriate location on the screen, such as a
menu or submenu. For example: On the Help menu, point to
Altera on the Web, and then click Quartus II Service
Request.
turn on/turn off Indicates that you must click a check box to turn a function on
or off.
VIII ■ INSTALLATION & LICENSING FOR WINDOWS AND LINUX ALTERA CORPORATION
Chapter
One
Installing the
Quartus II Software
What’s in Chapter 1:
Introduction 2
Quartus II Software System Requirements 4
Uninstalling Previously Installed Versions of
Altera Software 7
Altera.com Download Center 10
Installing Downloaded Altera Software 13
Altera Complete Design Suite 18
Installing Software from the Altera Complete
Design Suite DVD-ROM for Windows 21
Installing the Altera Complete Design Suite
on Linux 24
Installing the TCP/IP Protocol 25
Setting up the Quartus II Software with a
JTAG Server on a Network Drive 26
Using the 64-bit Version of the Quartus II
Software on Linux 26
CHAPTER 1: INSTALLING THE QUARTUS II SOFTWARE
INTRODUCTION
Introduction
This chapter describes the requirements and procedures for installing the
Quartus II software and related software, which is available from the
Download Center of the Altera website, and the Altera Complete Design
Suite for Windows and the Altera Complete Design Suite for Linux, which
are both available by request on DVD-ROM.
You can download the following software from the Download Center of the
Altera website:
Windows:
Linux:
Windows:
Linux:
The Altera Complete Design Suite for Windows Version 9.0 DVD-ROM
includes all of the Windows-compatible software listed under Individual
Software Downloads for Windows.
The Altera Complete Design Suite for Linux version 9.0 DVD-ROM includes
all of the software listed under Individual Software Downloads for Linux.
Windows Requirements
Hardware
■ Intel Pentium III PC running at 866 MHz or faster with 256 MB system
memory.
■ Adequate disk space as described in the readme.txt file, which is
located in the top-level directory of the Altera Complete Design Suite
DVD-ROM. After installation, the readme.txt file is available from the
Quartus II Help menu and in the Quartus II system directory.
■ Microsoft Windows XP, Windows XP Professional x64 Edition, or
Windows Vista (32-bit and 64-bit), must be installed.
– PCs running Windows XP are capable of running the 32-bit
version of the Quartus II software with access to virtual memory
of up to 2 GB.
– PCs running Windows XP Professional x64 Edition or Windows
Vista are capable of running the 32-bit version of the Quartus II
software with access to virtual memory of up to 4 GB and the
64-bit version of the Quartus II software with access to virtual
memory of more than 4 GB.
■ Microsoft Windows–compatible SVGA monitor.
■ DVD-ROM drive (DVD-ROM installation only).
If you want to use a ByteBlaster cable on a system running Windows Vista 32-bit,
you must first manually install the ByteBlaster cable driver.
Software
Linux Requirements
Hardware
32-bit Linux workstations are capable of running the 32-bit version of the Quartus II
software with access to virtual memory of up to 4 GB. If your Quartus II project
requires addressability of virtual memory greater than 4 GB, you must use the
64-bit version of the Quartus II software on a 64-bit Linux workstation.
■ Color monitor.
Software
References
Specific disk space and memory The readme.txt file, which is located in the
requirements top-level directory of the Altera Complete
Design Suite DVD-ROM. After installation,
the readme.txt file is available from the
Quartus II Help menu and in the Quartus II
system directory
You can also modify the Quartus II software for Windows, or related
software, after installation.
3. Click Next.
If you have installed software using the Altera Installer, follow these steps to
modify an installed version of the Quartus II software for Windows, or
related software, version 8.1 or later:
3. Click Next. You are then guided through the modification process.
3. Click Next.
4. Select the features you want to install or deselect the features you want
to uninstall.
5. Click Next. You are then guided through the modification process.
This section describes the installers and software available from the
Download Center.
Altera Installer
The Altera Installer is an integrated software installation solution that allows
you to download and install the Quartus II software, and related software,
on-demand with one custom download and installation program.
The Altera Installer uses a setup program, which allows you to select the
software you want to download and install, and then guides you through
the process. Both Windows and Linux operating systems support the Altera
Installer.
Additionally, you can specify the directories where you want to install the
software and temporary installation files, and you can set up proxy server
options. If you want, you can choose to download the installation files first,
and then perform the installation later. You can also perform installations on
multiple systems using the same set of installation files, which reduces
overall download time.
After you finish customizing your software installation, you can review the
installation summary, which lists all of the options that you specified during
the setup process.
Once you download the single file, you can use a setup program to select the
software and features that you want to install. The software and feature
options available with the All-In-One Download are described in the
following “Individual Software Downloads” section.
Once you download the software, you can use a setup program to select the
software and features that you want to install. This section describes the
software and feature options available by individual download.
Quartus II Software
The Quartus II software for Windows uses a setup program that
automatically starts once you begin the installation process. The setup
program allows you to select the software components that you want to
install and automatically guides you through the installation process.
If you select a custom installation, you can choose to install any combination
of the following items:
If you select a custom installation, you can choose to install any combination
of the following items:
ModelSim-Altera Software
The ModelSim-Altera software for Windows uses a setup program that
automatically starts once you start the installation process. The setup
program automatically guides you through the installation process.
Installation Prerequisites
You should be aware of the following information before you install the
Quartus II software and related software:
■ Commands that do not fit on a single line in this manual are indicated
by indentations of subsequent lines (Linux only).
■ Ensure there is at least 7.8 GB of free disk space to contain copies of the
uncompressed versions of the installation files. An additional 30MB of
disk space is required for temporary installation files. Free disk space
requirements for Individual Software Downloads are described on the
Download Center of the Altera website.
■ You can install multiple copies of the same version of Altera software
on the same PC. Subsequent installations of the software can have a
user-defined name. By default, the setup program uses the format
“Quartus II <version number> (Copy <number>)” for multiple copies
of the Quartus II software, where <number> is the number of Quartus II
software installations that are installed on the PC; for example, the
default name for a second copy of the Quartus II software version 9.0 is
Quartus II 9.0(Copy 2). When you install a Quartus II service pack on a
PC that has multiple copies of the same version of the Quartus II
software installed, you can choose the copy of the Quartus II software
that receives the service pack.
Installation Instructions
To install downloaded Quartus II software and related software on a
Windows PC or Linux workstation, follow the procedures in this section.
4. Click Run. The Altera Installer guides you through the download and
installation process.
Follow these steps to install Altera software using the Altera Installer on
multiple Windows systems or Linux workstations.
a. On the Select Software page, select all the software you want to
install on any of the systems on which you want to install Altera
software. Once you have completed the download process, and
then proceed to run the Altera Installer to install software, the
Altera Installer does not re-establish a connection to the internet to
retrieve additional installation files.
or
2. Verify that you have sufficient free disk (as indicated for each software
on the Download Center) to contain copies of uncompressed versions
of the software files.
You can access educational and reference materials, such as software video demos
and reference designs from the Altera Complete Design Suite for Windows DVD-
ROM.
The installation flow for the Altera Complete Design Suite for Windows is
shown in Figure 1.
Welcome &
Software Selection
License Agreement
Customer Information
Custom or
Custom Recommended
Recommended
Installation?
No
Installation Complete
Quartus II Software
Select Features
Installation Setup Summary
Installation process
Nios II Embedded Design requires that you
Suite Installation select and confirm
features for each
software installation.
ModelSim-Altera Installation
TalkBack Setup
Installation Complete
The Altera Complete Design Suite for Linux installation script flow is shown
in Figure 2.
Normal
Normal or Custom Custom
Installation?
Nios II Embedded
Design Suite for Linux
Installation process
requires that you
ModelSim-Altera answer yes or no
for each software
component during
the installation
Selected Component process.
Summary
No Proceed?
Yes
1. Insert the Altera Complete Design Suite for Windows version 9.0
DVD-ROM into your DVD-ROM drive. The Altera Complete Design
Suite v9.0 installation window appears automatically, offering several
options, as shown in Figure 3.
c. Click OK.
The Quartus II Web Edition version 8.0 and earlier requires a free license.
You can click Help me choose to access the Help me choose page,
which compares the features of the Quartus II Subscription Edition
software with the Quartus II Web Edition software.
4. If you want to install DSP Builder, click Install next to DSP Builder.
The setup program starts automatically and guides you through the
installation process.
7. You can view education and reference materials by clicking the View
button next to one of the following options under View educational
and reference materials in the Altera Complete Design Suite
Installation Window:
8. Select the software that you want to install under Select the software
you want to install.
10. You can turn on the TalkBack feature by turning on Turn on the
Quartus II software TalkBack feature in the TalkBack Options dialog
box, which appears at the end of the Altera Complete Design Suite
installation process if the option is not already turned on. You can also
turn the TalkBack feature on or off, once you have installed the
Quartus II software, in the TalkBack Options dialog box, which is
available from the Internet Connectivity page in the Options dialog
box.
You must have superuser or “root” privileges to mount and unmount the DVD-ROM
drive. If you are logged in on a console, you do not need to have root privileges to
mount a DVD-ROM.
The Altera Complete Design Suite installation script installs software from
the Altera Complete Design Suite for Linux Workstations version 9.0
DVD-ROM on Linux workstations.
To install the Altera Complete Design Suite on a Linux workstation using the
installation script, follow these steps:
1. Insert the Altera Complete Design Suite for Linux version 9.0
DVD-ROM into your DVD-ROM drive.
/mnt/dvdrom/install r
Installing the TCP/IP protocol on PCs Windows XP, Windows XP Professional x64
running Windows XP, Windows XP Edition, or Windows Vista (32-bit and
Professional x64 Edition, or Windows 64-bit) documentation or to the Microsoft
Vista (32-bit and 64-bit) website at www.microsoft.com
To set the Quartus II software to enable the JTAG server to run JTAG
services:
or
When you install the Quartus II software, the 64-bit version of the Quartus II
software is also installed automatically.
What’s in Chapter 2:
Introduction 29
Obtaining a License File 30
Modifying the License File 37
Upgrading or Setting Up a License Manager
Server 39
Installing a Sentinel Software Guard 46
Configuring Linux Workstations 49
Configuring Linux User Environment 50
Starting the Quartus II Software 51
Specifying the License File 53
Registering for an Altera.com Account 61
Licensing Related Software 62
CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE
INTRODUCTION
Introduction
This section describes how to license the Quartus II software and related
software.
If you are using a node-locked (single-user) (FIXEDPC) license and are a new user, or
are upgrading to a new version of the Quartus II software for Windows, you can
perform an automatic web license retrieval at startup, which allows you to upgrade
your license file automatically. For more information on this option, go to “Starting
the Quartus II Software” on page 51.
To set up your license for the Quartus II software, you must follow these
steps, which are described in further detail in this chapter:
The Quartus II Web Edition software for Windows versions 8.1 and later and the
ModelSim-Altera Starter Edition versions 6.4g and later do not require license files.
However, a NIC-based license file is required if you have additional purchased IP
cores.
You can use a software guard only if you are licensing a node-locked (single-user)
(FIXEDPC) version 7.2 or earlier of the Quartus II software for Windows.
3. If you are using a network license, set up and configure the FLEXlm
license manager server.
The Quartus II Web Edition software for Windows versions 8.1 and later and the
ModelSim-Altera Starter Edition versions 6.4g and later do not require license files.
However, a NIC-based license file is required if you have additional purchased IP
cores.
You can also obtain a license file, license.dat, from the Licensing section of
the Altera website at www.altera.com/licensing if you have the following
information:
! Licensing Your Copy of the Quartus II Software for the First Time
If you are using a node-locked (single-user) (FIXED PC) version of the Quartus II
software for Windows for the first time, you must use your Quartus II software serial
number to obtain a license file.
Altera recommends that you save any previous license.dat file in a temporary
directory, in case you need to refer to it later.
■ If you are licensing version 7.2 or earlier of the Quartus II software for
Windows, your software guard ID number, if you have a node-locked
(single-user) (FIXEDPC) version of the Quartus II software. Your
software guard ID is a number nine-character alphanumeric number
beginning with the letter T.
■ The license server host ID number for Linux network license servers. To
find your Linux network license server host ID number with FLEXlm
utilities, type the following command at a command prompt:
Altera recommends that you save any previous license.dat file in a temporary
directory, in case you need to refer to it later.
or
If you are using a node-locked (single-user) (FIXED PC) version of the Quartus II
software for the first time, you must use your Quartus II software serial number to
obtain a license file.
Additionally, if you use the Quartus II software on more than one computer, you can
request one companion license for each FIXEDPC license. To request a companion
license, contact Altera Customer Service at www.altera.com/corporate/contact/
con-index.html.
You can use a software guard If you are licensing a node-locked (single-user)
(FIXEDPC) only version 7.2 or earlier of the Quartus II software.
or
6. You will receive an e-mail from Altera with the license file text and an
attached license.dat file. Altera recommends using the attached license
file if you are using a node-locked (single-user) (FIXED PC) license. If
you are using a network (multiuser) (FLOATALL or ADD-
FLOATALL) license, you may either use the attached license file, or
copy the lines from the attached license file to an existing license file. If
you are using the license.dat file with both the Quartus II software and
the MAX+PLUS II software, Altera recommends that you save the
license.dat file in a top-level directory named <drive>:\flexlm.
The FLEXlm licensing scheme allows you to set up three redundant license
servers to serve a network (multiuser) license. You can request a license file
for redundant servers from the Licensing section of the Altera website at
www.altera.com/licensing. Figure 2 shows a sample redundant server
license file.
Figure 3 shows the Quartus II FEATURE line of a sample license file for a
node-locked (single-user) license that uses a software guard.
You can use a software guard to license only version 7.2 or earlier of the Quartus II
software.
or
5. Specify the location of your license file. You will receive an e-mail from
Altera with a license.dat file attached, as well as the license file text.
You can either use the attached license file, or copy the lines from the
attached license file to an existing license file. When you receive the
license file text, save it in the /usr/local/flexlm/licenses directory. If you
are using your license.dat file with both the Quartus II software and the
MAX+PLUS II software, Altera recommends that you save it in a
top-level directory named flexlm.
Figure 4 shows a sample network license file for Linux workstations that
functions as a single license server.
The FLEXlm licensing scheme allows you to set up three redundant license
servers to serve a network (multiuser) license. You can request a license file
for redundant servers from the Licensing section of the Altera website at
www.altera.com/licensing. Figure 5 shows a sample redundant server
license file.
FEATURE quartus alterad 2009.12 permanent 5 7A496B23A403 SIGN="1C66 DAC6 1DAB C886 \
727B 65DF FAC2 B479 3E3C 656D 3561 E5D0 BBA3 C45C 4DDC 0F2F 68F5 4DF1 6F63 \
7785 2F5D 1480 1B0A 70DE 2220 1952 DDCD 9F4D 6D61 A177"
If you have a node-locked (single-user) license on Linux, you can skip this section
and go to “Starting the Quartus II Software” on page 51.
The first few lines of the license file are shown in the following example
(your license file may not contain all the VENDOR entries, depending on
which software you have enabled):
SERVER <host name> <8- or 12-character host or NIC ID> <port number>
VENDOR alterad "<path to daemon executable>"
VENDOR mgcld "<path to daemon executable>"
1. In the license.dat file, type the variables that are described in Table 1.
The host or NIC ID will already be entered in the license file.
– The license file name must have a .dat extension. If your text editor
adds .txt or another extension to the file name, such as
license.dat.txt, you must rename the file to have only a .dat
extension.
– The license file must have a carriage return at the end of the last
FEATURE line.
Modifying the license file for the AN 340: Altera Software Licensing on the
Quartus II and MAX+PLUS II software Altera website
and for other EDA tools
<host name> The host name of the server; for example, my_server.
<port number> The port number for the license manager service on the server; for
(1) example, 1800. The port number is optional and should be different
from the port number for any other service on the machine.
alterad "<path Path to the Altera vendor Windows:
to daemon daemon executable \<Quartus II system directory>\bin\
executable>" alterad. alterad.exe
(2)(3) Linux:
/<Quartus II system directory>/linux/
alterad
The Quartus II Setup program installs version 9.5 of the FLEXlm License
Manager server software on Windows 32-bit systems or version 11.1.1 on
Windows XP Professional x64 Edition and Windows Vista (64-bit) systems
on your user workstation, but you should also verify that the FLEXlm
License Manager server software version of the license server is 8.0 or later.
Refer to “Installing Software from the Altera Complete Design Suite DVD-
ROM for Windows” on page 21 for more information on installing the
FLEXlm License Manager server software. If the FLEXlm License Manager
server software is version 8.0 or later, skip to “Rereading an Existing License
File” on page 42.
Windows:
Linux:
If the lmgrd or alterad daemons are not from the FLEXlm License Manager
server software version 8.0 or later, you must upgrade both daemons with
the versions provided in the installation of the Quartus II software.
2. Copy the new versions of the files to the computer running the license
server over your current daemons. You may have to shut down the
license server software to complete this step.
If you installed the FLEXlm License Manager server software using the
setup program on Windows or the installation script on Linux, the
current version of the lmgrd and alterad daemons are located in the
following directories:
Windows:
Linux:
3. Copy the FLEXlm software utility lmutil from the Quartus II system
directory to the license server computer.
Windows:
Linux:
If you make changes to your license file, you must reread the license file or
restart the license server before you can run the Quartus II software for the
first time.
If you do not have an existing FLEXlm license server, and you need to configure a
new license server, skip to “Configuring a New License Server” on page 43.
Windows:
Linux:
or
You should be aware of the following information before you configure a new
license server:
■ Make sure you obtained a valid license file according to the guidelines in
“Obtaining a License File” on page 30, and that you modified the license file
according to the guidelines in “Modifying the License File” on page 37.
■ These instructions assume that you installed the license.dat file in the
<drive>:\flexlm directory (Windows) or the /usr/local/flexlm/licenses
directory (Linux). If you installed the license file in a different directory,
substitute the appropriate path name for that directory.
Windows:
Linux:
lmtools r
5. In the Path to the lmgrd.exe file box, type the location of your lmgrd
file, usually the <drive>:\<Quartus II system directory>\bin
directory.
6. In the Path to the license file box, type the location of your license.dat
file, usually the <drive>:\flexlm directory.
7. In the Path to the debug log file box, type the location of your debug
log file, usually the <drive>:\flexlm\debug.log directory.
To start or stop the license server with the LMTOOLS dialog box, follow
these steps:
3. Select the name of the license server, usually Flexlm License Server.
or
3. Select the name of the license server, usually Flexlm License Server.
– lmgrd
– lmutil
– alterad
– lmtools(Windows)
You can also install the FLEXlm License Manager server software on another
license server with the Install FLEXlm Server button in the setup program
on Windows. Refer to “Installing Software from the Altera Complete Design
Suite DVD-ROM for Windows” on page 21 for more information.
You should be aware of the following information before you install a Sentinel
software guard:
■ You can use a software guard only to license the Quartus II software version
7.2 or earlier. If you are licensing version 8.0 or later, you must use a NIC ID.
■ If you have a network (multiuser) license, you can skip this section and go to
“Starting the Quartus II Software” on page 51.
■ You can use USB software guards only to license the Quartus II software
version 7.2. Parallel port software guards are no longer provided in Quartus II
software packages; however, they are still supported for backward
compatibility. Parallel port software guards are not supported on 64-bit
systems.
Installing the Sentinel driver requires that you have system administration
(Administrator) privileges.
! Before You Install the USB Software Guard for Remote Desktop
Support
You should be aware of the following information before you install the USB
software guard:
■ You must have the USB software guard installed on the client machine.
You must have superuser or “root” privileges to install runtime patches or modify
kernel configuration.
The GNU libc libraries are available from the Red Hat Linux website at
www.redhat.com.
1. Check the configuration parameters listed below to make sure that the
values listed in the limits.conf file, which is located in the /etc directory,
are greater than or equal to the recommended values listed in Table 3.
1. Update each user’s PATH environment variable in the .cshrc file, which
is located in the home directory, to include the bin directory in the
Quartus II system directory, which is usually the /opt/altera<version
number>/bin directory. To update the PATH environment variable, type
the following command at a command prompt:
2. Save the changes to the .cshrc file, and then type the following
commands at a command prompt:
cd r
source .cshrc r
or
■ Start the 30-day evaluation period with no license file (no device
programming file support)—This option allows you to continue to use
the Quartus II software for 30 days without programming file support.
After 30 days, you must have a valid license file in order to use the
software.
■ If you have a valid license file, specify the location of your license
file—This option displays the License Setup page of the Options
dialog box, which allows you to specify the location of your license file.
See the next section, “Specifying the License File,” for more
information.
The Quartus II Web Edition software version 8.1 and later does not require a license.
quartus r
Make sure you do not start the quartus command in the /<Quartus II system
directory>/linux directory. If you start the Quartus II software in a
platform-specific directory, the software may not function correctly. For more
information, refer to “Configuring Linux User Environment” on page 50.
When you start the Quartus II software, if a valid license file cannot be
detected, the software prompts you to specify a valid license file.
■ Specify the license file with the Windows XP, Windows XP Professional
x64 Edition, or Windows Vista (32-bit and 64-bit) System Control
Panel.
■ Specify the license file with the .cshrc file on client Linux workstations.
If you are using the ModelSim-Altera simulation software, which is included with
Altera software subscriptions, and/or you are using the MAX+PLUS II software, you
must specify the license file with the System Control Panel on Windows or with the
.cshrc file on Linux.
ModelSim-Altera Starter Edition versions 6.4g and later do not require license files.
Specifying the license file from within the Quartus II software does not require
system administration (Administrator) privileges in Windows.
When you start the Quartus II software in Windows, if the software cannot
detect a valid license file, you are asked whether you want to run in evaluation
mode for 30 days, request a valid license file automatically from the Altera
website, or specify the correct location of a valid license file.
2. Select Specify valid license file to specify the name of the license file.
The License Setup page (Figure 6 or Figure 7) of the Options dialog
box appears.
or
If you want do not want to specify the license file at this time, you can
return to the License Setup page at another time by clicking License
Setup on the Tools menu.
Current license Option to use LM_LICENSE_FILE variable License file location Web License Update button
Licensed AMPP/MegaCore functions Local system information Begin 30-day Grace Period button
Current license Option to use LM_LICENSE_FILE variable License file location Web License Update button
Licensed AMPP/MegaCore functions Local system information Begin 30-day Grace Period button
3. In the License file box, specify the full path name of the license.dat file,
or browse to locate the license.dat file. Altera recommends that you
store the license.dat file in a directory named c:\licenses:\flexlm
(Windows) or /usr/local/flexlm/licenses (Linux).
You can also specify the location of the license file by typing a name in
the format <port>@<host> instead of a license file path name, where
<host> is the name of is the machine running the license server and
<port> is the port listed in the license.dat file. See Figure 4 on page 36
for a sample network license file to determine your port and server
name, and refer to Table 1 on page 38 for more information about port
numbers. If there is no port listed in the license.dat file, you can simply
specify @<host>.
If you have more than one license file or server, separate the port and host
specifications with colons (:), with no spaces between the names and
numbers. For example:
1800@king:/usr/local/lib/license.dat:270000@queen
4. If you want the Quartus II software to check the Altera website for
license file updates, click Web License Update.
7. Click OK.
Specifying the license file in the System Control Panel requires that you have
system administration (Administrator) privileges.
To specify the license file in the Windows XP, Windows XP Professional x64
Edition, or Windows Vista (32-bit and 64-bit) System Control Panel, follow
these steps:
5. Under System Variables, click New. The New System Variable dialog
box appears.
If you have more than one license file or server, separate the port and host
specifications with semicolons (;), with no spaces between the names and
numbers.
8. Click OK.
If more than one application uses this environment variable, separate the
different paths with a colon (:) with no spaces between the path names. For
example:
or
If you want to specify the name of the server on which the license file is
stored, add the following line to the .cshrc file for each user:
Where <host> is the name of the server and <port> is the port listed in
the license.dat file. See Figure 4 on page 36 for a sample network
license file to determine your port and server name, and refer to Table 1
on page 38 for more information about port numbers. If there is no port
listed in the license.dat file, you can simply specify @<host>.
If you have more than one license file or server, separate the port and host
specifications with colons (:), with no spaces between the names and
numbers. For example:
1800@king:/usr/local/lib/license.dat:270000@queen
4. In the Web browser box, specify the full path name of your web
browser.
5. If you are using a proxy server, specify the proxy address and port by
performing the following steps:
6. Click OK.
To start your web browser and connect to the mySupport website while
running the Quartus II software, on the Help menu, point to Altera on
the Web, and then click Quartus II Service Request.
or
www.altera.com/mysupport.
If you are not a current Altera subscription user, you can still register for an
Altera.com account.
MegaCore IP Library
Once you purchase a license for any of the IP cores, you can request a license
file from the Licensing section of the Altera website at www.altera.com/
licensing and install it on your computer.
If you have already installed a license for an IP core, and then you receive a
new license file for a new IP core, you can either append the license file to
your existing license.dat file, or you can specify the IP core’s license.dat file
in the Quartus II software.
See AN 340: Altera Software Licensing, which is available from the Literature
section of the Altera website at www.altera.com/literature, for detailed
instructions for specifying a license file for an IP core.
ModelSim-Altera
You can request a ModelSim-Altera license file from the Licensing section of
the Altera website at www.altera.com/licensing and install it on your
computer.
ModelSim-Altera Starter Edition software version 6.4g and later does not require a
license.
Windows:
Linux:
or
1. On the Windows Start menu, click Control Panel. The Control Panel
appears.
6. In the Variable value box, type the location of your license file.
What’s in Chapter 3:
Introduction 66
Setting Environment Variables 66
Configuring a Printer with MainWin 68
Other Workstation Configuration
Information 69
CHAPTER 3: MORE CONFIGURATION INFORMATION FOR LINUX WORKSTATIONS
INTRODUCTION
Introduction
This section describes how to change additional Linux workstation
configuration items including Quartus II general environment variables,
Quartus II NativeLink® environment variables, user names, language
settings, and fonts.
If you are using the C shell, environment variables are located in your .cshrc
file, and have the following format:
If you are using the Bourne or Korn shell, environment variables are located
in your .profile file, and have the following format:
QUARTUS_ROOTDIR
The QUARTUS_ROOTDIR variable specifies the name of the Quartus II
system directory. The default directory is /opt/altera<version number>. You
should change this variable only if the system displays an error message
indicating that Quartus II files cannot be found when you start the program.
MWFONT_CACHE_DIR
The MWFONT_CACHE_DIR variable specifies the name of the Quartus II font
cache directory. The default directory is /<user home directory>/.mw.
QUARTUS_MWWM
The QUARTUS_MWWM variable specifies how the Quartus II software should
interact with the system’s window manager. If you want, you can specify
that the Quartus II software operate normally with all supported window
managers by setting this environment variable to allwm. The
QUARTUS_MWWM environment variable is not specified by default.
QUARTUS_64BIT
The QUARTUS_64BIT variable specifies the 64-bit version of the Quartus II
software. To turn on 64-bit processing in the Quartus II software, set the
environment variable to 1 before running the Quartus II software. This
variable is supported only in systems with 64-bit processing.
QUARTUS_LIBRARY_PATHS
The QUARTUS_LIBRARY_PATHS variable specifies user-defined library
paths. You can use this environment variable to define multiple library
paths at the same time instead of manually adding each path to the user
library.
QUARTUS_INIT_PATH
The QUARTUS_INIT_PATH variable specifies the path(s) of the other EDA
tool(s) to be launched from within the Quartus II software. You must set this
variable to launch other EDA tools from within the Quartus II software.
QUARTUS_INIT_LIBPATH
The QUARTUS_INIT_LIBPATH specifies the LD_LIBRARY_PATH variable
needed by some other EDA tools. You should set this variable to the EDA
tool’s LD_LIBRARY_PATH if the EDA tool requires an LD_LIBRARY_PATH
variable.
1. Become non-root.
4. Double-click Add New Printer. The Add New Printer wizard appears.
6. Select the printer you want to use with the Quartus II software.
10. In the Printer Name box, type a name for the printer and click Next. The
Finish Adding New Printer wizard appears.
11. Verify that the printer information is correct and click Finish.
su - <username> r
mwrpcss quartus_sim
quartus quartus_pow
quartus_map quartus_stp
quartus_fit quartus_pgm
quartus_tan quartus_cpf
quartus_asm quartus_sh
quartus_drc quartus_cmd
quartus_cdb quartus_sta
quartus_eda quartus_si
/usr/bin/ps -ef r
■ You can use the mwcleanup utility to clean up system resources and
terminate all MainWin applications by typing the following command
at the command prompt:
mwcleanup r
quartus_map --64bit r
What’s in Chapter 4:
Starting the Quartus II Interactive
Tutorial 72
Using Quartus II Help 72
Contacting Altera 73
CHAPTER 4: DOCUMENTATION AND TECHNICAL SUPPORT
STARTING THE QUARTUS II INTERACTIVE TUTORIAL
This tutorial includes audio and Flash animation components. For best
results, use the tutorial on a system that includes a sound card, speakers, and
at least 1024x768 display resolution.
To start the Quartus II tutorial after you have successfully installed the
Quartus II software:
Once you start the tutorial, you can jump immediately to any tutorial
module by clicking Contents. Once you select a tutorial module, you can
click Show Me, Guide Me, or Test Me at any time to jump directly to the
tutorial mode that best suits your learning style.
Contacting Altera
You can contact Altera for technical support and product information.
Resource Description
(408) 544-8767
(7:00 a.m. to 5:00 p.m. Pacific time, M–F)
In order to use the mySupport website to view and submit service requests, you
must also register for an Altera.com account. An Altera.com account is required only
for using the mySupport website; however, having an Altera.com account will also
make it easier for you to use many other Altera website features, such as the
Download Center, Licensing Center, Altera Technical Training online class
registration, or Buy On-Line-Altera eStore features. For more information, refer to
“Registering for an Altera.com Account” on page 61 in Chapter 2, “Licensing the
Quartus II Software.”
Product Information
If you need the latest Altera product information or literature, go to the
Literature section of the Altera website at www.altera.com/literature.
Altera technical support services The Contact Altera section of the Altera
website
What’s in Appendix A:
Quartus II Software and Related
Software File Organization 76
APPENDIX A: QUARTUS II SOFTWARE FILE ORGANIZATION
QUARTUS II SOFTWARE AND RELATED SOFTWARE FILE ORGANIZATION
<drive>:\altera\<version number>
<drive>:\altera\<version number>\quartus
bin bin64 common cusp drivers dsp_builder eda libraries lmf sopc_builer
/opt/altera<version number>
/opt/altera<version number>/quartus
What’s in Appendix B:
Introduction 80
Mounting and Unmounting
DVD-ROMs 80
APPENDIX B: MOUNTING AND UNMOUNTING DVD-ROMS
INTRODUCTION
Introduction
This appendix describes how to mount and unmount the Altera Complete
Design Suite DVD-ROM on Linux workstations.
You must have superuser or “root” privileges to mount and unmount the DVD-ROM
drive. If you are logged in on a console, you do not need to have root privileges to
mount a DVD-ROM.
mkdir /mnt/dvdrom r
2. If you have not already done so, insert the DVD-ROM into your
DVD-ROM drive.
/bin/mount /mnt/dvdrom r
/bin/umount /mnt/dvdrom r
pwd r
You should see the / prompt on your screen. If you do not, you should
type dvd/ r again.
Numerics instructions 80
K O
kernel configuration settings Options command 54, 60
Linux workstations 49
P
L
parallel port 4
libraries, Linux workstations 49 Pentium II 4
license file Pentium III processor 5
modifying 37 port number, specifying 38, 57, 60
obtaining 30 product information 74
setting up 39 proxy address, specifying 60
specifying 53
upgrading 39 Q
license server configuration, setting up on
Linux workstations 39 quartus directory 77
licensing Quartus II software
related software 62 setting up with a JTAG server on a
Linux workstations network drive 26
installing Altera Complete Design starting 51
Suite software and device QUARTUS_64BIT variable 67
information 24 QUARTUS_INIT_LIBPATH variable 68
mounting and unmounting QUARTUS_INIT_PATH variable 67
DVD-ROMs 80 QUARTUS_MWWM variable 67
lmhostid utility 32 QUARTUS_ROOTDIR variable 66
lmutil utility 32, 41, 42, 46
R
M
readme.txt file 6
MAX+PLUS II software, using with registering for an Altera.com account 61
Quartus II license file 31, 35, 53
MegaCore IP Library S
licensing 62
ModelSim-Altera software Sentinel driver, installing 47, 48
licensing 63 serial number 31
modifying Altera software in Windows 9 serial port 5, 6
mounting DVD-ROM drive 80 Software Guard
MWFONT_CACHE_DIR variable 67 installing Sentinel driver 47, 48
mySupport web site 61 serial number 31
mySupport website 73 system requirements
Linux requirements 5
N Quartus II software 4
references 6
Nios II Embedded Design Suite Windows requirements 4
licensing 62
T
TalkBack, turning on 24
TCP/IP protocol 25
technical support 73
troubleshooting 66
tutorial, starting the Quartus II Interactive
Tutorial 72
U
uninstalling Altera software in Windows 7
uninstalling Altera software on Linux
workstations 8
unmounting DVD-ROM drive 80
USB port 5, 6
W
web browser, specifying 60
Windows
installing the Altera Complete Design
Suite software 21
Windows XP
TCP/IP Protocol 25
workstation
configuring 49
MNL-01044-1.0