0% found this document useful (0 votes)
73 views81 pages

AEI Min

The document outlines the scheme and syllabus for a Master of Technology program in Applied Electronics and Instrumentation offered by the Electronics and Communication department. It includes the program educational objectives, outcomes, list of courses offered in each semester along with credits, internal and end semester exam details. The first semester includes 7 compulsory courses and 1 elective, while the second semester includes 6 compulsory courses and 2 electives. It also lists the members of the Cluster Level Graduate Program Committee that prepared and approved the scheme and syllabus.

Uploaded by

vishal biji
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
73 views81 pages

AEI Min

The document outlines the scheme and syllabus for a Master of Technology program in Applied Electronics and Instrumentation offered by the Electronics and Communication department. It includes the program educational objectives, outcomes, list of courses offered in each semester along with credits, internal and end semester exam details. The first semester includes 7 compulsory courses and 1 elective, while the second semester includes 6 compulsory courses and 2 electives. It also lists the members of the Cluster Level Graduate Program Committee that prepared and approved the scheme and syllabus.

Uploaded by

vishal biji
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 81

KERALA TECHNOLOGICAL

UNIVERSITY

(KOLAM CLUSTER - 02)

SCHEME AND SYLLABI


of
M. TECH.

in

APPLIED ELECTRONICS AND


INSTRUMENTATION

OFFERING DEPARTMENT

ELECTRONICS AND
COMMUNICATION

Downloaded from Ktunotes.in


CLUSTER LEVEL GRADUATE PROGRAM COMMITTEE

NO MEMBER

1 Dr. S. Mohan, Professor, IIT Madras, Chennai

2 Principal, TKM College of Engineering, Kollam

3 Principal, Baselios Mathews II College of Engineering, Sasthamcotta, Kollam

4 Principal, College of Engineering, Karunagapally, Kollam

5 Principal, College of Engineering, Perumon, Kollam

6 Principal, Pinnacle School of Engineering and Technology, Anchal, Kollam

7 Principal, Shahul Hameed Memorial Engineering College, Kadakkal, Kollam

8 Principal, TKM Institute of Technology, Ezhukone, Kollam

9 Principal, Travancore Engineering College, Parippally, Kollam

10 Principal, Younus College of Engineering and Technology, Pallimukku, Kollam

Page | 1

Downloaded from Ktunotes.in


CERTIFICATE

This is to certify that

1. The scheme and syllabi are prepared in accordance with the regulations and
guidelines issued by the KTU from time to time and also as per the decisions made in
the CGPC meetings.

2. The suggestions/modifications suggested while presenting the scheme and syllabi


before CGPC on 8.6.2015 have been incorporated.

3. There is no discrepancy among the soft copy in MS word format, PDF and hard copy
of the syllabi submitted to the CGPC.

4. The document has been verified by all the constituent colleges

Coordinator in charge of syllabus revision of the programme

Prof. S.K. Rajeev


Professor and Head
Younus College of Engineering & Technology,
Kollam.

Dr. M. Abdul Majeed


Principal
Younus College of Engineering & Technology, Kollam.

Principals of the colleges in which the programme is offered

No Name of the college Principal’s Name Signature


1 Younus College of Engineering Dr. M. Abdul Majeed
& Technology, Kollam

Date: Dr S. Mohan,

Place: Professor, IIT, Madras

Chairman

Page | 2

Downloaded from Ktunotes.in


Programme Educational Objective
Applied Electronics and Instrumentation Engineering is a combination of Electronics and
Instrumentation Engineering subjects for applied engineering. The primary focus of
instrumentation engineering is the development and implementation of electrical and electronic
instruments for the purpose of measuring, monitoring, and recording physical phenomena.
a) Graduates will achieve broad and in-depth knowledge of Electronics and Instrumentation
Engineering relating to industrial practices and research to analyze the practical problems
and think creatively to generate innovative solutions using appropriate technologies.
b) Graduates will adapt to different roles and demonstrate leaderships in global working
environment by respecting diversity, professionalism and ethical practices.
c) Graduates shall pursue higher studies, or take up engineering profession in design and
development or take up engineering research assignments.
d) Graduates will be conscious of the need for environment friendly engineering solutions
and will be equipped with positive attitude, to help them to acquire leadership qualities as
well as team spirit and get adapted to current industrial scenario.

Programme outcome
After successful completion of the programme the student should be able to
a) Understand latest developments in Electronics and Intrumentation engineering using the
knowledge they acquired.
b) Use the techniques, skills, and modern engineering tools necessary for engineering
practice.
c) Design and conduct experiments, as well as to analyze and interpret data.
d) Identify, formulate, and solve engineering problems.
e) Understanding of professional and ethical responsibility.
f) Communicate fluently by both oral and writing.
g) Recognize the need for, and an ability to engage in life-long learning.

Page | 3

Downloaded from Ktunotes.in


Scheme of M. Tech Programme
in Applied Electronics and Instrumentation
SEMESTER 1 (Credits 23)

End Semester
Exa
Internal Exam
m Course No: Name L- T - P Credits
Marks Duration
Slot Marks
(hrs)
A 02EC6111 CMOS Circuit Design 4-0-0 50 50 4 4
Advanced Digital Signal
B 02EC6121 Processing 4-0-0 50 50 4 4

Advanced Digital System


C 02EC6131 4-0-0 50 50 4 4
Design
Advanced Control
D 02EC6141 3-0-0 50 50 3 3
Systems
E 02EC6151 Elective 1 3-0-0 50 50 3 3
S 02CA6001 Research methodology 1-1-0 100 0 0 2
T 02EC6161 Seminar 0-0-2 100 0 0 2
Instrumentation and
U 02EC6171 0-0-2 100 0 0 1
control system lab.

L-Lecture T-Tutorial P-Practical

Elective 1
02EC6151.1: Design of VLSI Systems
02EC6151.2: Digital Image Processing
02EC6151.3: Instrumentation System Design
02EC6151.4: ASIC Design
02EC6151.5: Process Control & Instrumentation

Note: 8 hours/week is meant for departmental assistance by students.

Page | 4

Downloaded from Ktunotes.in


Scheme of M. Tech Programme
in Applied Electronics and Instrumentation
SEMESTER 2 (Credits 19)

End Semester
Exa
Internal Exam
m Course No: Name L- T - P Credits
Marks Duration
Slot Marks
(hrs)
Design of Embedded
A 02EC6112 Systems 4-0-0 50 50 4 4
Micro Electro Mechanical
B 02EC6122 3-0-0 50 50 3 3
Systems
Non Linear Control
C 02EC6132 3-0-0 50 50 3 3
Systems
D 02EC6142 Elective 2 3-0-0 50 50 3 3
E 02EC6152 Elective 3 3-0-0 50 50 3 3
T 02EC6162 Mini Project 0-0-4 100 0 0 2
VLSI and Embedded
U 02EC6172 0-0-2 100 0 0 1
System Lab

L-Lecture T-Tutorial P-Practical

Elective 2
02EC6142.1: Medical Instrumentation
02EC6142.2: RF System Design
02EC6142.3: Nano Electronics
02EC6142.4: Robotics
02EC6142.5: Industrial Automation

Elective 3
02EC6152.1: Low Power VLSI Design
02EC6152.2: Optimization Techniques
02EC6152.3: Industrial Drives & Control
02EC6152.4: Mixed Signal Circuit Designs
02EC6152.5: Mechatronics

Note: 8 hours/week is meant for departmental assistance by students.

Page | 5

Downloaded from Ktunotes.in


Scheme of M. Tech Programme
in Applied Electronics and Instrumentation
SEMESTER 3 (Credits 14)

End Semester
Exam Internal Exam
Course No: Name L- T - P Credits
Slot Marks Duration
Marks
(hrs)
A 02EC7111 Elective 4 3-0-0 50 50 3 3
B 02EC7121 Elective 5 3-0-0 50 50 3 3
T 02EC7131 Seminar 0-0-2 100 0 0 2
U 02EC7141 Project (Phase I) 0-0-8 50 0 0 6

L-Lecture T-Tutorial P-Practical

Elective 4
02EC7111.1:Optical Instrumentation
02EC7111.2:Modern Electronic Instrumentation
02EC7111.3:Computer Aided Design of Control Systems
02EC7111.4:Communication Protocols for Instrumentation
02EC7111.5:Artificial Intelligence

Elective 5
02EC7121.1: Wireless Sensors & Systems
02EC7121.2: Neuro-Fuzzy Systems
02EC7121.3: PWM Schemes for Power Converters
02EC7121.4: Computerized Process Control
02EC7121.5: Computer Aided Design for VLSI

Note: 8 hours/week is meant for departmental assistance by students.

Page | 6

Downloaded from Ktunotes.in


Scheme of M. Tech Programme
in Applied Electronics and Instrumentation
SEMESTER 4 (Credits 12)

End Semester
Exam Internal Exam
Course No: Name L- T - P Credits
Slot Marks Duration
Marks
(hrs)
U Project (Phase 2)
02EC7112 0-0-21 100 0 0 12

L-Lecture T-Tutorial P-Practical

Note: 8 hours/week is meant for departmental assistance by students.

Total credits for all semesters: 68

Page | 7

Downloaded from Ktunotes.in


SEMESTER I

02EC6111 CMOS CIRCUIT DESIGN L-T-P: 4-0-0 : 4 2015


Course Objective:
 To get fundamental idea of Analog circuits
 To get ideas about the basic amplifiers, current mirrors and differential amplifiers
 To get an idea of static and switching characteristics of the CMOS inverter.
 Operation of Pass transistor logic and transmission gate.
 Operational amplifiers are discussed with its design and stability factors
 Different types of memory and its decoder circuits are discussed.

Syllabus
MOS Device Physics, Review of single stage MOS Amplifiers, Differential Amplifier, Passive
and active Current Mirror, Frequency Response of Amplifier, MOS Operational Amplifiers.

References
1. Razavi B., ”Design of Analog CMOS Integrated Circuits”, Mc G Hill, 2001.
2. Sung-Mo Kang,YusufLeblebici ,”CMOS Digital Integrated Circuits: Analysis and Design
”,Third Edition, Tata McGraw-Hill 2003
3. Baker, Li, Boyce,”CMOS: Circuits Design, Layout and Simulation”, Prentice Hall India, 2000
4. Phillip E. Allen, Douglas R. Holbery, ”CMOS Analog Circuit Design ”, Oxford, 2004
5. Baker, CMOS: Circuit Design, Layout, and Simulation, 3rd EditionWiley-IEEE Press2011.

Course Outcome
 Be able to analysis models in analysis of CMOS digital electronics circuits,
including logic components and their interconnects.
 Have an understanding of the characteristics of CMOS circuit construction.
 Design functional units including adders, multipliers, ROMs, SRAMs etc.
 Have an understanding of the characteristics of CMOS circuit construction and
the comparison between different state-of-the-art CMOS technologies and
processes.

COURSE PLAN 02EC6111


02EC6111 CMOS CIRCUIT DESIGN L-T-P: 4-0-0 : 4 2015
Contact Sem. Exam
MODULES Hours Marks,%
MOS Device Physics: MOSFET Structure, IV chara,
Second Order effect, MOS device Capacitance. MOS
Small Signal Model, CMOS Inverter-Static
Module 1 8 15
Characteristics, Derivation for VTH, VIL and VIH
Switching Characteristics, Pass transistor Logic and
transmission gate.
Review of single stage MOS Amplifiers, CS- with
Module 2 10 15
resistive, diode connected and current source loads,

Page | 8

Downloaded from Ktunotes.in


CS with source degeneration, CD, CG and Cascode
Amplifiers, folded Cascode.
.
First Internal Test
Differential Amplifier- BASIC differential pair,
Common Mode response, Differential pair with MOS
Module 3 10 15
Load, Switched Capacitor Filter

Passive and active Current Mirror- BASIC current


mirror, Cascode Current mirror, Active Current
Module 4 8 15
mirror, small signal analysis

Second Internal Test


Frequency Response of Amplifier- miller effect,
Analysis of Common Source, Common Drain,
Common Gate and Differential Pair. Noise
Module 5 8 20
Considerations and Distortion

MOS Operational Amplifiers, Cascode and Folded


Module 6 Cascodeopamps . Stability and frequency 8 20
Compensation in Op amps.

Page | 9

Downloaded from Ktunotes.in


02EC6121 ADVANCED DIGITAL SIGNAL PROCESSING L-T-P: 4-0-0: 4 2015
Course Objectives
 To study the design of various classes of digital filters.
 To understand various schemes for digital filter implementations.
 To understand the Fundamentals of Multirate Signal Processing.
 To obtain an idea about the application of Multirate signal processing.
 Study the theory and construction of wavelets and its practical implementations.
 To equip the students to work with linear prediction algorithms.
 To familiarize the students with power spectrum estimation of signals using parametric
and non-parametric methods.

Syllabus
Introduction to discrete time signals and systems, Overview of DTFT and Z transform , Discrete
Fourier transform(DFT),FIR and IIR filters. Design of FIR Digital filters. Design of IIR Digital
Filters. Multirate Signal Processing, Sampling rate conversion Wavelet Transforms,Continuous
wavelet transform, Discrete wavelet transform ,Power Spectrum Estimation, Non parametric
methods. Parametric method,Introduction to Linear Prediction.

References
1. P. P. Vaidyanathan, ”Multirate Systems and Filterbanks”, Prentice Hall
2. K. P. Soman, K. I. Ramachandran, N. G. Resmi, PHI, Insight into wavelets from theory
to practice
3. Proakis, Manolakis, Digital Signal Processing: Principles, Algorithms, and Applications,
4/e, Pearson Education. 2006
4. Emmanuel C Ifeachor, Barrie W.Jervis, Digital Signal Processing, A practical Approach,
2/e, Pearson Education
5. Robert J.Schilling, Sandra L.Harris, Fundamentals of Digital Signal Processing using
MATLAB,CL Engineering; 2nd edition 2010
6. Douglas F. Elliott, Handbook of Digital Signal Processing Engineering Application,
Academic Press

Course outcomes
 Familiarize the various aspects of IIR and FIR filter Design.
 Able to design multirate system for various applications.
 Gain comprehensive knowledge about Wavelet Transform and its application
 Have a better appreciation of the uses of parametric and non-parametric methods for the
power spectral analysis of signals.

Page | 10

Downloaded from Ktunotes.in


COURSE PLAN
02EC6121 ADVANCED DIGITAL SIGNAL PROCESSING L-T-P: 4-0-0: 4 2015
Contact Sem. Exam
MODULES Hours Marks,%
Introduction to discrete time signals and systems-
Review of discrete time LTI systems and transforms.
Overview of DTFT and Z transform , Discrete
Module 1 Fourier transform(DFT), properties of DFT , DFT as 20 15
linear transformation , Linear filtering methods using
DFT,Frequency analysis of systems using DFT. FIR
and IIR filters.
Design of FIR Digital filters-Window method, Park-
McClellanʹs method. Design of IIR Digital
Filters‐Butterworth,
Module 2 9 15
ChebyshevApproximation;Lowpass, Bandpass,
Bandstop and High pass filters. Structures of FIR and
IIR systems. Round off effects in Digital filters
First Internal Test
Multirate Signal Processing: Introduction, BASIC
multirate Operations, frequency domain representation
of Decimator and Interpolator. Sampling rate
conversion-Rational factor and arbitrary factor
Module 3 9 15
(firstorder approximation), Digital filterbanks,
Polyphase decomposition, Filterbank using polyphase
decomposition Applications of Multirate signal
processing
Wavelet Transforms: Continuous wavelet transform
and short time Fourier transform, Multi resolution
Module 4 Analysis, Discrete wavelet transform: Haar scaling 7 15
and wavelet functions, Discrete wavelet transform and
relation to filter banks. Application of Wavelet
Second Internal Test
Power Spectrum Estimation: Introduction to power
and energy density spectrum, Use of DFT in power
spectrum Estimation, Non parametric methods:
Module 5 8 20
Estimation of the Autocorelation and power spectrum
of random signals: Periodogram, Blackman-Tukey
method
Parametric method: AR, MA, ARMA processes, Yule-
Walker method for ARmodel. Introduction to Linear
Module 6 7 20
Prediction: Forward and Backward Prediction -
Levinson-Durbin Algorithm

Page | 11

Downloaded from Ktunotes.in


02EC6131 ADVANCED DIGITAL SYSTEM DESIGN L-T-P: 4-0-0: 4 2015
Course Objective
 Identify, formulate and solve engineering problems in the area of digital logic circuit
design.
 Systematic study of hazard issues in digital design
 Understand the design and analysis methods for Synchronous/ Asynchronous state
machines.
 Understand the basics of hardware description language.
Syllabus
Introduction to Combinational Circuits, Synchronous Sequential Circuit Design, Asynchronous
sequential circuits ,Hazards, Designing with SM charts,Fault Modeling,Digital System Design.
References
1. Charles H.Roth,“Fundamentals of Digital Design”, Jr., PWS Pub.Co.,1998.
2. John M Yarbrough, “Digital Logic Applications and Design”, Thomson learning.
3. William I. Fletcher, ”An Engineering Approach to Digital Design”, PHI,1996.
4. J Bhasker, “A VHDL Primer”, PHI.
5. Samuel C Lee, “Digital Circuits and Logic Design”, PHI.
6. Donald G. Givone, “Digital principles and Design”, Tata McGraw Hill 2002.
7. MironAbramovici, Melvin A.Breuer and Arthur D. Friedman,Digital Systems Testing
and Testable Design – John Wiley & Sons Inc
8. Charles H.Roth Digital Systems design using VHDL-Cengage 2nd edition, 2012

Course outcomes
 The candidate should after this course have an in-depth knowledge of digital integrated
circuit hardware design.
 Students should be able to learn the benefits and drawbacks of the various design
methods for solving a problem.
 An ability to optimize the design of synchronous and asynchronous digital systems.
 Able to identify Hazards and methods to rectify the hazards.
 Get an idea of different types of fault modeling.

COURSE PLAN
02EC6131 ADVANCED DIGITAL SYSTEM DESIGN L-T-P: 4-0-0: 4 2015
Contact Sem. Exam
MODULES Hours Marks,%
Introduction to Combinational Circuits: Adder
circuits, Comparators, Multiplexers, Code Converters,
Module 1 Tristate bus systems, Propagation delay of digital 8 15
circuits. Introduction to Sequential Circuits and Flip
flop conversions.
Synchronous Sequential Circuit Design: Clocked
Synchronous State Machine Analysis, Mealy and
Module 2 Moore machines, Finite State Machine design 10 15
procedure: Derive state diagrams, obtain state tables,
State reduction methods, State Assignments,

Page | 12

Downloaded from Ktunotes.in


Implementation of Sequential Circuits.
First Internal Test
Asynchronous sequential circuits: Analysis of
asynchronous Sequential circuits, Race conditions and
cycles.
Module 3 9 15
Hazards: Static and Dynamic, Essentialhazards, Static
hazard free and dynamic hazard free combinational
logic circuits design.Function hazards.
Designing with SM charts: State machine charts,
Derivation of SM charts, Realization of SM charts.
Module 4 7 15
Timing in ASM Charts. Introduction to hardware
description languages: VHDL.
Second Internal Test
Fault Modeling: Logic Fault model, Fault detection
& Redundancy, Fault equivalence and fault location,
Faultdominance, Single stuck at fault model, Multiple
Module 5 8 20
stuck at fault models, Bridging fault model, Fault
diagnosis of combinational circuits by conventional
methods, Path sensitization techniques.
Digital System Design: Using ROMs, PALs and
Module 6 PLAs, PLA minimization and PLA folding, XILINX 7 20
FPGAs, XILINX CPLDs.

Page | 13

Downloaded from Ktunotes.in


02EC6141 ADVANCED CONTROL SYSTEMS L-T-P: 3-0-0: 3 2015
Course Objective
 To make the students equipped with the fundamentals of vector space and matrix algebra.
 To understand the fundamentals of feedback control system.
 Systematically teaches the design and analysis of controllers and compensators.
 Introductory ideas of Robust Control systems.
 Understand simulations using MATLAB and Simulink.

Syllabus
Introductory matrix algebra and linear vector space.State space representation of systems
Similarity transformation Controllability and Controllable canonical form, Observability and
observable canonical form, Duality between controllability and observability. Analysis of
Stabiility by using Lyapunov stability analysis Design of Compensators and Controllers, Robust
Control System

Reference Books:
1. K. Ogata, Modern Control Engineering, Prentice Hall, India 1997
2. T. Kailath, T., Linear Systems, Perntice Hall, Englewood Cliffs, NJ, 1980.
3. N. K. Sinha , Control Systems, New Age International, 3 rd edition, 2005.
4. Panos J Antsaklis, and Anthony N. Michel, Linear Systems, New - age international (P)
LTD Publishers, 2009.
5. John J D’Azzo and C. H. Houpis , “Linear Control System Analysis and Design
Conventional and Modern”, McGraw - Hill Book Company, 1988.
6. B.N. Dutta, Numerical Methods for linear Control Systems - , Elsevier Publication, 2007.
7. C.T.Chen Linear System Theory and Design - PHI, India.
8. Richard C. Dorf and Robert H. Bishop, Modern Control Systems, 11 th Edition, Pearson
Edu,India, 2009.

Course Outcome
 Gets idea about various design aspects of control systems and model control system
elements through SIMULINK and MATLAB.
 Gain comprehensive knowledge about feedback control.
 Become familiar with the methodologies available for stability analysis.
 Gain an understanding of the design process of compensators and controllers.
 Become familiar with Robust control system.

Page | 14

Downloaded from Ktunotes.in


COURSE PLAN
02EC6141 ADVANCED CONTROL SYSTEMS L-T-P: 3-0-0: 3 2015
Contact Sem. Exam
MODULES Hours Marks,%
Introductory matrix algebra and linear vector space.
State space representation of systems. Solution of
Module 1 state equations. Evaluation of State Transition Matrix 8 15
(STM) -Simulation of state equation using MATLAB/
SIMULINK program.
Similarity transformation and invariance of system
properties due to similarity transformations. Minimal
realization of SISO, SIMO, MISO transfer functions.
Module 2 10 15
Discretization of a continuous time state space model.
Conversion of state space model to transfer function
model using Fadeeva algorithm.
First Internal Test
Fundamental theorem of feedback control -
Controllability and Controllable canonical form – Pole
assignment by state feedback using Ackermann’s
Module 3 formula .Observability and observable canonical form 10 15
- Design of full order observer using Ackermann’s
formula. Duality between controllability and
observability.
Internal stability of a system. Stability in the sense of
Lyapunov, asymptotic stability of linear time invariant
Module 4 12 15
continuous and discrete time systems. Solution of
Lyapunov type equation.
Second Internal Test
Design of Compensators and Controllers: Lag, Lead,
Lag-Lead – using Frequency and Time Domain
Module 5 8 20
analysis. Introduction of P, PI, PID Controller- fine
tuning of PID controllers.
Robust Control System: Introduction, Robust control
system and sensitivity, Robust Stability Criterion,
Module 6 8 20
Design of robust control system, Robust control of
Temperature.

Page | 15

Downloaded from Ktunotes.in


02EC6151.1 DESIGN OF VLSI SYSTEM L-T-P: 3-0-0: 3 2015
Course Objective:
 To get ideas fundamental idea about MOS transistors.
 To get ideas of CMOS logic design
 To get idea of Comparator, Barallelshifter and memory arrays.
 Different types of adders, multipliers are discussed.
 Low power VLSI design and power analysis.
 VLSI testing and fault models are discussed.
Module 1
Review of MOS transistor theory, CMOS logic design ,Data paths Equality comparator and
Magnitude comparator, Shifters,CMOS Arithmetic Circuits- Adders Multipliers: Parallel
multiplier, Low Power VLSI Circuit design, Power analysis. VLSI Testing ,concept of BIST.

References

1. Neil H E Weste&KamramEshrahian: Principles of CMOS VLSI Design,2/e, Pearson


Education.
2. John P Uyemura: Introduction to VLSI Circuits and Systems, Wiley India, 2008
3. Wayne Wolf: Modern VLSI Design Systems on Chip, 3/e, Pearson Education
4. Gary K Yeap, Practical Low Power Digital VLSI Design, Kluwer academic
publishers,1998
5. Kaushik Roy, Sharat Prasad, Low-Power CMOS VLSI design, John Wiley & Sons, 2000
6. Essentials of Electronics Testing for Digital, Memory and Mixed Signal VLSI circuits,
Michael L .Bushnell, VishwaniD.Agrawal
7. David Money Harris&Neil H E Weste: CMOS VLSI Design: A circuits and systems
perspective, Pearson Education; 4th edition, 2015

Course Outcome:
 Get clear idea of MOS transistors, CMOS design
 Get clear idea of low power VLSI design, power analysis, VLSI testing and fault model.

Page | 16

Downloaded from Ktunotes.in


COURSE PLAN
02EC6151.1 DESIGN OF VLSI SYSTEM L-T-P: 3-0-0: 3 2015
MODULES Contact Sem. Exam
Hours Marks,%
Review of MOS transistor theory- Saturation and
Linear regions of Operation of nMOS and pMOS.
1 CMOS Inverter - DC and Transient Characteristics, 8 15
Performance of CMOS Inverter - Dynamic Behaviour,
Delay and power analysis.
CMOS logic design –Combinational logic-Pseudo
nMOS, Dynamic Logic and Pass transistor Logic,
Tristate inverter Compound gates, leakage current,
gate sizing , Elmore delay and Logical effort
2 Calculation, Sequential logic: Sequencing element 10 15
design, clock skew and jitter, metastabilty, wires and
cross talk

First Internal Test


Data paths -Comparators: 0 and1 Detectors, Equality
comparator and Magnitude comparator, Shifters:
3 8 15
Funnel and Barrel shifters, Arrays: ROM, PLA,6T and
12T SRAM
CMOS Arithmetic Circuits- Adders: Carry ripple,
Carryskip, Carry look ahead, Carry select, Carry
4 12 15
increment and Tree adder. Multipliers: Parallel
multiplier, Wallace Tree and Dadda multiplier.
Second Internal Test
Low Power VLSI Circuit design: Need for Low power
circuits, Power analysis - Gate-Level, Methods of
Power reduction at the Gate Level and circuit level
5 8 20
Gate Sizing. Recent trends in low-power design.

VLSI Testing- Types of Testing, Fault Models, Single


Stuck at Faults, Equivalence of Single Stuck at Faults,
Module 6 10 20
Fault collapsing and Fault Domiance, IDDQ Test,
concept of BIST.

Page | 17

Downloaded from Ktunotes.in


02EC6151.2 DIGITAL IMAGE PROCESSING L-T-P: 3-0-0: 3 2015
Course Objectives
 Understand the various steps in digital image processing.
 Get a thorough understanding of digital image representation and processing techniques.
 Ability to process the image in spatial and transform domain for better enhancement.
 Understand various low level image processing techniques including reconstruction from
projections.
 Understand the fundamentals of high level image processing.

Module 1
Image representation, Two dimensional orthogonal transforms. Image enhancement,Image noise
models,Image Restoration,Colour image processing, colour models,Mathematical morphology
Image and Video Compression Standards,Image super resolution,Image texture analysis.

References
1. Gonzalez and Woods,”Digital image processing”, Prentice Hall, 2002.
2. A. K. Jain,”Fundamentals of digital image processing”, Prentice Hall of India, 1989.
3. M. Haralick, and L.G. Shapiro,”Computer and Robot Vision”, Vol -1, Addison Wesley,
Reading, MA, 1992.
4. PaymanMilanafer "Super Resolution Imaging" CRC press
5. Rafael C. Gonzalez “Digital image processing“Pearson; Third edition, 2013
6.Gonzalez and Woods, Digital Image Processing Using MATLAB, McGraw Hill Education
(India) Private Limited; 2 edition, 2010

Course outcomes:
 Able to understand the various techniques for image representation.
 Understand the fundamentals of high level image processing.
 Understand various low level image processing techniques including reconstruction from
projections.

Page | 18

Downloaded from Ktunotes.in


COURSE PLAN
02EC6151.2 DIGITAL IMAGE PROCESSING L-T-P: 3-0-0: 3 2015
Contact Sem. Exam
MODULES Hours Marks,%
Image representation - Gray scale and colour Images,
image sampling and quantization. Two dimensional
orthogonal transforms - DFT, FFT, WHT, Haar
Module 1 8 15
transform, KLT, DCT. Image enhancement - filters in
spatial and frequency domains, histogram-based
processing, homomorphic filtering.
Image noise models, Edge detection - non parametric
and model based approaches, LOG filters, localization
problem. Image Restoration - PSF, circulant and
block - circulant matrices, deconvolution, restoration
Module 2 using inverse filtering, Wiener filtering and maximum 10 15
entropy-based methods.Colour image processing,
colour models

First Internal Test


Mathematical morphology - binary morphology,
dilation, erosion, opening and closing,
Module 3 dualityrelations, gray scale morphology, applications 8 15
such as hit-and-miss transform, thinning and shape
decomposition.
Image and Video Compression Standards: Lossy and
lossless compression schemes: Transform
Module 4 12 15
Based, Sub-band Decomposition, Entropy Encoding,
JPEG, JPEG2000, MPEG
Second Internal Test
Image super resolution, Image observation model,
Super resolution in frequency Domain, Interpolation
Module 5 Restoration, Example based Approaches, Set 8 20
Theoretic Restoration.

Image texture analysis - co-occurence matrix,


measures of textures, statistical models for textures.
Module 6 10 20
Hough Transform, boundary detection, chain coding,
segmentation and thresholding methods.

Page | 19

Downloaded from Ktunotes.in


02EC6151.4 ASIC DESIGN L-T-P: 3-0-0: 3 2015
Course Objective
 To understand the fundamentals of ASIC designing.
 Systematical study of CMOS technology and transistor designing.
 To equip the students with tools used for low level ASIC designing and implementation.
 To understand the logic synthesis, programming simulation and testing of VLSI design
also familiarize with memory based I/O Cells.

Syllabus
Introduction To ASICs, CMOS Logic And ASIClibrary Design,Programmable ASICs,
Programmable ASIC Logic Cellsand Programmable ASIC I/O Cells, Programmable ASIC
Interconnect, Programmable ASICdesign Software And Low Level Design Entry, Programmable
ASIC Architecutre, Logic Synthesis, Simulation And Testing, ASIC Construction, Floor
Planning, Placement and routing .
REFERENCES:
1. M.J.S .Smith, "Application Specific Integrated Circuits, Pearson Education; 1st Edition
2011
2. FarzadNekoogar and FaranakNekoogar, “From ASICs to SOCs: A Practical
Approach”, Prentice Hall PTR, 2003.
3. Wayne Wolf, FPGA-Based System Design, Prentice Hall PTR, 2004.
4. R. Rajsuman, System-on-a-Chip Design and Test. Santa Clara, CA: Artech House
Publishers, 2000.
5. F. Nekoogar. Timing Verification of Application-Specific Integrated Circuits (ASICs).
Prentice Hall PTR, 1999
6. Ricardo Reis, Marcelo SoaresLubaszewski, Jochen A.G. Jess,“Design of Systems on a
Chip: Design and Test” Springer 1st edition, 2007

Course Outcomes:
 Students will understand the fundamentals of ASIC designing.
 Will equipped with tools used for low level ASIC designing and implementation
 Familiarized with the memory based I/O cells and also be able to program simulate and
test VLSI Designs and Logic Synthesis.

Page | 20

Downloaded from Ktunotes.in


COURSE PLAN
02EC6151.4 ASIC DESIGN L-T-P: 3-0-0: 3 2015
Contact Sem. Exam
MODULES Hours Marks,%
INTRODUCTION TO ASICS, CMOS LOGIC
AND ASICLIBRARY DESIGN:Types of ASICs -
Design flow - CMOS transistors CMOS Design rules -
Module 1 Combinational Logic Cell – Sequential logic cell - 10 15
Data path logic cell - Transistors as Resistors –
Transistor Parasitic Capacitance- Logical effort –
Library cell design - Library architecture.
PROGRAMMABLE ASICS, PROGRAMMABLE
ASIC LOGIC CELLSAND PROGRAMMABLE
ASIC I/O CELLS : Anti fuse - static RAM - EPROM
and EEPROM technology - PREP benchmarks - Actel
Module 2 10 15
ACT- Xilinx LCA –Altera FLEX - Altera MAX DC &
AC inputs and outputs - Clock & Power inputs- Xilinx
I/O blocks.

First Internal Test


PROGRAMMABLE ASIC INTERCONNECT,
PROGRAMMABLE ASICDESIGN SOFTWARE
AND LOW LEVEL DESIGN ENTRY : Actel ACT
-Xilinx LCA - Xilinx EPLD - Altera MAX 5000 and
Module 3 9 15
7000 - Altera MAX 9000 – Altera FLEX –Design
systems - Logic Synthesis - Half gate ASIC -
Schematic entry - Low level design language - PLA
tools -EDIF- CFI design representation.
PROGRAMMABLE ASIC
ARCHITECUTRE:Architecture and configuration of
Module 4 Spartan / Cyclone and Virtex / Stratix FPGAs – 7 15
Micro-Blaze / Nios based embedded systems – Signal
probing techniques.
Second Internal Test
LOGIC SYNTHESIS, SIMULATION AND
TESTING:Verilog and logic synthesis -VHDL and
Module 5 logic synthesis - types of simulation -boundary scan 8 20
test - fault simulation - automatic test pattern
generation.
ASIC CONSTRUCTION, FLOOR PLANNING,
PLACEMENT ANDROUTING : System partition -
FPGA partitioning - partitioning methods - floor
Module 6 9 20
planning - placement physical design flow –global
routing - detailed routing - special routing - circuit
extraction DRC.

Page | 21

Downloaded from Ktunotes.in


02EC6151.3 INSTRUMENTATION SYSTEM DESIGN L-T-P: 3-0-0: 3 2015
Course Objective
 Familiarize the operation of typical instrumentation systems.
 Identify the various methods of signal transmission.
 Understand the equipments used in Current loops, Temperature measurement, Pressure
measurement, Flow and level measurement and output devices.
 To understand the signal conditioning relevant to instrumentation.

Syllabus
Concept of generalized measurement system,Transducers, Design of transducers, transducer
testing, Design of LVDT, Strain gauge and Piezoelectric crystal based instruments, Design of
different sensing elements. Design of Signal Conditioning elements, Amplifiers, Current
Transmitters, Oscillation and Resonation. Analog to Digital Conversion, Delta Sigma ADC

References
1. C. D. Johnson,”Process Control Instrumentation Technology”, 8thEdition, PHI, 2006.
2. Andrew and Williams,”Applied Instrumentation in Process Industries”, Vol. I, II, III,
IV,Gulf Publishing Company, 1979.
3. John P. Bentley, ”Principles of Measurement Systems”, Pearson Education; 3rdedition,
2008
4. T. R. Padmanabhan, ” Industrial Instrumentation: Principles and Design”, Springer-
Verlag Publications, 2005
5. B. C. Nakra and K. K. Choudhari, ” Instrumentation: Measurement and Analysis”,
TataMcGraw Hill Pub, 3rd edition2009
6. B. G. Liptak,”Instrument Engineers Handbook”, Vol. I and II, Third Edition, Chilton
andBook Company, 1990.
7. D. M. Considine,”Process/Industrial Instruments and Control Handbook”, Tata McGraw-
Hill Edu., 2009

Course Outcome
 After getting through the subject the students get proficiency about various design aspects
and operating principles of Measurement Systems, Transducers and Sensors.
 The course makes the students well equipped with the design process of signal
conditioning elements and analog to Digital Converters

Page | 22

Downloaded from Ktunotes.in


COURSE PLAN
02EC6151.3 INSTRUMENTATION SYSTEM DESIGN L-T-P: 3-0-0: 3 2015
Contact Sem.
MODULES Hours Exam
Marks,%
Introduction: Concept of generalized measurement
system, functional elements,generalized input-output
Module 1 configuration, static sensitivity, drifts, linearity, 9 15
hysteresis,threshold, resolution, static stiffness and input-
output impedance.
Operating principle-resistive transducers, variable
inductive transducers, variable capacitive transducers,
piezoelectric transducers, magnetostrictive transducers,
Hall effect, eddy current, ionization, optical transducers,
Module 2 10 15
digital transducers, single shaft encoders, photo voltaic
cell, photo conductive, photo emissive, fiber optic
sensors, concept of smart and intelligent sensor, bio-
sensors.
First Internal Test
Design of transducers, transducer testing. Design of
RTD’s Pressure gauges,Bellows,Bourden tubes and
Module 3 8 15
Diaphragm based instruments. Design of flow measuring
instruments.
Design of LVDT, Strain gauge and Piezoelectric crystal
based instruments- Design of different sensing elements:
Resistive sensing element, Capacitive sensing elements,
Module 4 10 15
Induction sensing elements, Electromagnetic sensing
element. Velocity Sensors, Level Instrumentation
Design.
Second Internal Test
Design of Signal Conditioning elements:Different types
of bridge circuits, Full Bridge, Half bridge and quarter
Module 5 bridge circuits Deflection Bridges, Amplifiers, Current 7 20
Transmitters, Oscillation and Resonation, Design aspects
of signal processing elements.
Analog to Digital Conversion,Sampling, Quantization,
EncodingSignal processing calculations, Steady State
Module 6 8 20
compensation, Dynamic Digital Compensation and
filteringADC-,Delta Sigma ADC.

Page | 23

Downloaded from Ktunotes.in


02EC6151.5 PROCESS CONTROL INSTRUMENTATION L-T-P: 3-0-0: 3 2015
Course Objective
 Understand the fundamentals of process control.
 Systematic study of industrial instrumentation control.
 In-depth knowledge about control valves.
 To understand multivariable control and discrete state process control.
 To get fundamental ideas about PLCs and its programming.

Module 1
Introduction to process control – process variables degrees of freedom. Process modeling. Self-
regulation. Control systems with inverse response. Electrical actuators,Hydraulic
systems,Pneumatic systems, Relays-electromechanical-solid state relays. Control valves
Advanced Control Strategies -Adaptive control -Inferential control. Process identification-
purpose-step testing-pulse testing, Multivariable control,Controllability and observability of
multivariablesystems. Discrete state process control Programmable logic controllers, Ladder
diagram.

Text Books
1. G. Stephenopoulos: Chemical Process Control: An Introduction to Theory and
Practice,Pearson Education, Delhi, 2004.
2. D. R. Coughanowr, Process Systems Analysis and Control, McGraw Hill, New York,
1991
3. C. D. Johnson, ”Process Control Instrumentation Technology”, 8th Edition, PHI,2006.
4. M. L. Luyben and W. L. Luyben, Essentials of Process Control, Tata McGraw Hill, New
York,1997.
References
1. C. T. Kilian, Modern Control Technology Components and Systems, 2 nd ed., Thomson
Asia,Singapore, 2001.
2. D. M. Considine, Process/Industrial Instruments and Controls Handbook, 4th ed.,
McGraw-Hill, New York, 1993
3. P. Harriot, Process Control, Tata McGraw-Hill, New Delhi, 1972
4. D. E. Seborg,, T. F. Edgar, and D. A. Mellichamp, Process Dynamics and Control, 2 nd
ed., JohnWiley& Sons, New York, 2004

Course Outcomes:
 Students will understand the fundamentals of process control & industrial instrumentation
control
 Acquire the in depth knowledge about control valves and various control schemes.
 Awareness about control valve noise and ways of reducing it.
 Able to understand the fundamentals of PLCs and PLC programming, multi variable
control and discrete state process control.

Page | 24

Downloaded from Ktunotes.in


COURSE PLAN
02EC6151.5 PROCESS CONTROL INSTRUMENTATION L-T-P: 3-0-0: 3 2015
Contact Sem.
MODULES Hours Exam
Marks,%
Introduction to process control – process variables – degrees
of freedom. Process modeling– Liquidlevel system-
Linearization example– Two-tank liquid-level system–
interacting and non-interactingsystems–Thermal process–
Module 1 10 15
Mixing process–Heat exchanger–Distillation column.
Dynamics of 1 st and2 nd order systems, Batch process and
Continuous process. Self-regulation. Control systems with
inverse response.
Final control-final control operation, signal conversions-
analog electrical signals-digital electricalsignals, pneumatic
signals, Electrical actuators–electric linear motors,
Hydraulic systems-Basic principle- hydraulic pumps-
Module 2 hydraulic actuators- pressure control valves- accumulator- 10 15
directionalcontrol valves, Pneumatic systems-compressor-
dryer-tank-actuators. I/P, P/I converters, Valvepositioner.
Switches-different types, Relays-electromechanical-solid
state relays.
First Internal Test
Control valves – construction, characteristics, different
types –ball, gate, butterfly, and other types,Valve sizing,
cavitation and flashing, control valve noise and methods of
Module 3 its reduction. AdvancedControl Strategies-Cascadecontrol- 9 15
Feed forward control-Ratio Control-Internal model control-
Selective control schemes- Split-range control -Adaptive
control -Inferential control.
Process identification-purpose-step testing-pulse testing-
Module 4 ATV method-Least squares method-relationship among 7 15
time, Laplace, and frequency domains.
Second Internal Test
Multivariable control–control of interacting systems–
response of multi-loop control system–non-interacting
Module 5 8 20
control–stability of multivariable systems. Controllability
and observability of multivariablesystems.
Discrete state process control – characteristics – event
sequencing – Programmable logic controllers –advantages of
PLC control– Evolution of PLCs- architecture and Hardware
– Functional blocks –symbols-PLC programming – relay
Module 6 12 20
logic – Ladder diagram –Timers – counters –PLC operation-
analoginterfacing – PLC selection –Micro PLCs – Design of
interlocks and alarms using PLC, PID control onPLC,
Creating Ladder diagrams from process control descriptions.

Page | 25

Downloaded from Ktunotes.in


02EC6161 SEMINAR L-T-P: 0-0-2: 2 2015

The student is expected to present a seminar in one of the current topics in Applied Electronics
and Instrumentation. The student will undertake a detailed study based on current published
papers, journals, books on the chosen subject and submit seminar report at the end of the
semester.

Marks:
Seminar Report Evaluation : 50 Marks
Seminar Presentation : 50 Marks

02EC6171 INSTRUMENTATION AND CONTROL SYSTEM LAB L-T-P: 0-0-2: 2 2015

Course Objectives
Familiarizing Various PLC software and Ladder Logic
Familiarizing GUI (Graphical user interface) software like SCADA and LabVIEW.
Implementing various Control systems.

1. Data Acquisition and control using Lab VIEW.


2. Controller tuning techniques
3. SCADA.
4. Control of Robotic arm.
5. PLC.
6. Level control.
7. Flow control.

Course Outcomes
 On completion of the LAB student will be capable doing PLC and SCADA programming
in Industrial Level.
 On completion of the LAB student will get a sound understanding of Control system in
Industrial level.

Page | 26

Downloaded from Ktunotes.in


SEMESTER II
02EC6112 DESIGN OF EMBEDDED SYSTEMS L-T- P.Credits: 4-0-0 : 4 2015

Course Objectives
 To explore the concepts of embedded computing.
 To study about various software and hardware architectures.
 Study embedded system networks.

Syllabus

Introduction, Design Process, Design Example, Embedded Computing Platform, System level
performance analysis, Program Design and Analysis Introduction models and bASIC
compilation techniques, Program Optimization, Analysis and optimization of various parameters.
System Design Techniques -system analysis and architecture design, Distributed Embedded
Architecture-Hardware and Software Architectures, Networks for embedded systems.

Course Outcome

 Students are able to understand the concepts of embedded computing amd


programoptimization.
 Students get an idea about various hardware and software architecture and embedded
system networks.

References

1. Wayne Wolf, ”Computers as Components-Principles of Embedded Computing System


Design”, Morgan Kaufman Publishers, 2008.
2. David E. Simon, ” An Embedded Software Primer”, Pearson Education, 2004.
3. Frank Vahid and Tony Givargi, ”Embedded System Design: A Unified
Hardware/Software Introduction”, John Wiley & Sons, 2001.
4. Steve Heath, ”Embedded System Design”, Elsevier Science, 2003.
5. Arnold S. Berger, ”Embedded System Design: An Introduction to Processors, Tools and
Techniques”, CMP Books, 2005.
6. Rechard Zurawski, ”Embedded System Hand Book”, CRC Press, 2006

Page | 27

Downloaded from Ktunotes.in


COURSE PLAN

02EC6112 DESIGN OF EMBEDDED SYSTEMS L-T-P. Credits: 4-0-0: 4 2015

MODULES CONTENTS Contact Sem.Exam


Hours Marks,%
Introduction-characteristics of embedded computing
applications-challenges in embedded computing design –
Design process: requirements-specification-architecture
design-designing hardware and software components-
1 8 15
system integration and testing. Formalisms for system
design -structural description-behavioral description. design
example - Alarm clock.

The Embedded Computing Platform: CPU, bus-memory


devices-I/O devices-component interfacing-development
and debugging-testing- system level performance analysis -
2 8 15
design example - Model Train Controller.

First Internal Test

Program Design and Analysis: Introduction-components for


embedded programs-models of programs-data flow graph-
control /data flow graphs-assembly, linking and loading-
3 8 15
bASIC compilation techniques.

Program Optimization- Program level performance


analysis-Analysis and optimization of execution time,
energy, power and program size-program validation and
4 8 15
testing-design example: software modem.

Second Internal Test

System Design Techniques Introduction-design


methodologies-requirement analysis-specifications-system
5 10 20
analysis and architecture design-quality assurance.

Distributed Embedded Architecture-Hardware and


Software Architectures, Networks for embedded systems-
6 I2C, CAN Bus, Ethernet, Field Bus-Internet Enabled 10 20
Systems-design Example: Elevator Controller.

Page | 28

Downloaded from Ktunotes.in


02EC6122 MICRO ELECTRO MECHANICAL SYSTEMS
L-T-P: Credits 3-0-0:3 2015

Course Objectives

 Introduction to the concepts of Micro Electro Mechanical Systems.


 To enable students to learn the principles MEMS fabrication.
 To impart design principles of Micro Electro Mechanical Systems.

Syllabus

MEMS based system design principles, Micro Fabrication, Passive mechanical components in
MEMS, Sensors in MEMS, Actuators in MEMS, Optical MEMS components, Introduction to
RF MEMS, MEMS phase shifters, Applications of MEMS in Space, Biomedical and micro
pumps for continuous flow system , wafer bounding and packaging in MEMS, System Case
studies, Fabrication case studies .

Course Outcome

On completion of the course students should:


 Be able to extend the principles of micro fabrication to the development of
micromechanical devices and the design of micro systems.
 Understand the principles of energy transduction, sensing and actuation on a microscopic
scale.
 Appreciate the effects of scaling, and the similarities and differences between
micromechanical assemblies and macroscopic machines.
 Be able to analyse and model the behaviour of micro electromechanical devices and
systems.

References

1. V.K.Varadan, K.J.Vinoy, S.Gopalakrishnan, “Smart material systems and MEMS ”


WileyIndia, 2011(Reprint).
2. Chang Liu, “Foundations of MEMS” Pearson, 2012.
3. Stephen D. Senturia, “Microsystem Design”, Kluwer Academic Publishers, 2001.
4. Nadim Maluf,” An Introduction to Micro Electro Mechanical System design”, Artech
House, 2000.
5. H.J.D.Santos, ”RF MEMS Circuit Design for Wireless Communications”, Artech House,
2002.
6. G.M.Rebeiz , ”RF MEMS Theory , Design and Technology”, Wiley , 2003.
7. V.K.Varadan etal, ”RF MEMS and their Applications”, Wiley, 2003.

Page | 29

Downloaded from Ktunotes.in


COURSE PLAN

02EC6122 MICRO ELECTRO MECHANICAL SYSTEMS L-T-P:Credits 3-0-0: 3 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
MEMS based system design principles- Microfabrication–
Fabrication process for silicon MEMS- deposition
techniques for thin films in MEMS– Bulk
1 8 15
micromachining– surface micromachining- processing by
both bulk and surface machining– wet and dry etching -
LIGA processes- microstereolithography.
Passive mechanical components in MEMS –bearing- gears-
rack and pinion- ratchat,pawl and crank- slider and crank-
flexure,anchor and joint-four-bar linkages. Sensors in
2 MEMS– Conductometric sensors–Capacitive sensors – 8 15
Piezoelectric sensors – Magentostrictive sensors –
Semiconductor based sensors –Bio sensors–Carbon nano
tube sensors.

First Internal Test

Actuators in MEMS– Electrostatic actuators –


Electromagnetic actuators–Electrothermal actuators- Shape
3 memory alloys- Data storage cantilever-Optical MEMS 9 15
components- microlens- micromirrors-optical switches-
waveguide and tunning .
Introduction to RF MEMS- MEMS inductors- varactors-
tunner /filter- Reconfigurable circuit elements- Resonator
4 9 15
MEMS switch Tunable CPW resonator- MEMS
microswitch arrays.

Second Internal Test

MEMS phase shifters- Types of phase shifters- Switched


delay line phase shifters. Distributed MEMS phase shifters-
5 Applications of MEMS in Space-Biomedical and micro 8 20
pumps for continuous flow system -wafer bounding and
packaging in MEMS.
System Case studies: Pressure Sensor– MEMS micro
phone–Gyros-Accelerometer-flow measurement using
cantilever structure (In these case studies the principle,
6 design consideration and one typical commercial device has 8 20
to be studied) Fabrication case studies : PVDF based
transducer for structural health monitoring– SAW based
accelerometers–cantilever based piezoelectric sensor.

Page | 30

Downloaded from Ktunotes.in


02EC6132 NONLINEAR CONTROL SYSTEMS L-T-P: Credits 3-0-0:3 2015

Course Objectives

 To study the characteristics of a non-linear system and different types of non-linearities


in a system.
 Make the students to analyze a Non-linear system.
 Give an introduction to feedback linearisation.

Syllabus

Review of linear systems, Characteristics of Nonlinear systems, Common non-linearities,


Describing function Analysis, Phase plane analysis, Local Stability and stabilization of
Nonlinear systems using different methods, Sliding mode control, Controllability &
Observability of Nonlinear Systems, Feedback linearization, Back stepping approach in control
system, Nonlinear control applications.

Course Outcome

 On the completion of the course students are able to understand different nonlinearities
present in a system.
 Understand different methods used for analysing a Nonlinear system.

References

1. Non-Linear Control Engineering, D. P. Atherton,Van Nostrand Reinhold Company,


1982 .
2. Applied Nonlinear Control, J. J. E. Slotine &W. Li, Prentice-Hall, 1991.
3. Nonlinear Control Systems: Analysis and Design, H. J. Marquez, Wiley, 2003.
4. Modern Control System 11th edition, R. Dorf, R. Bishop,Pearson, 2011.
5. Modern Control Engineering 5th edition, Katsuhiko Ogata , 2009.

Page | 31

Downloaded from Ktunotes.in


COURSE PLAN

02EC6132 NONLINEAR CONTROL SYSTEMS L-T-P: Credits 3-0-0: 3 2015


MODULES CONTENTS Contact Sem. Exam
Hours Marks,%
Review of linear systems –origin of non-linearities-
definition of nonlinearities -Characteristics of Nonlinear
1 systems -Limit cycles -stability, jump resonance-Common 9 15
non-linearities- saturation, dead zone, relay, hysteresis.

Describing function Analysis –Describing function of


different non linearities -saturation, dead zone, relay,
hysteresis, Phase plane analysis -Singular points –types,
2 7 15
Construction of Phase portraits – Isocline, Delta methods -
Existence of limit cycles.

First Internal Test

Local Stability and stabilization of Nonlinear systems,


Local stability and stabilization using linearization local
stabilization using Liapunov stability analysis -
3 10 20
Construction of Liapunov function -variable gradient
method, Popov’s stability criterion, Circle criterion, bASIC
concepts of Sliding mode control.
Sliding mode control: Sliding surfaces, differential
inclusions, solutions in the sense of Filippov,
4 8 15
Controllability & Observability of Nonlinear Systems.

Second Internal Test

Feedback linearization, input-output linearization, state


feedback control, nonlinear feedback analysis-the Lur’s
5 9 20
problem, back stepping approach in control system.

Nonlinear control applications: inverted pendulum, 2 DoF


6 helicopter, Coupled tank system for liquid level control. 8 20

Page | 32

Downloaded from Ktunotes.in


ELECTIVE II

02EC6142.1 MEDICAL INSTRUMEMTATION L-T-P: Credits 3-0-0:3 2015

Course Objectives

 Introduction to the concepts of Biomedical structures, transducers and


equipments.
 To enable students to appreciate various biomedical measurements.
 To impart design principles of biomedical measurement systems.

Syllabus

Cell and its structure ,Nervous system , Cardio pulmonary system, Different types of
Transducers, Transducers for measurement of various parameters, Components of a biomedical
system, Various Biomedical Recording methods, Radio graphic and fluoroscopic techniques,
case studies for various sensors.

Course Outcome:

 By the end of the course students get a clear cut idea about various transducers.
 Students are able to analyze, design and optimize Biomedical measurement
systems.

References

1. Leslie Cromwell, Fred J.Weibell, Erich A.Pfeiffer, ‘Bio-Medical Instrumentation and


Measurements’, II Edition, Pearson Education, 2002 / PHI.
2. S. Khandpur, ‘Handbook of Bio-Medical Istrumentation’, Tata McGraw Hill Publishing
Co Ltd., 2003.
3. L.A. Geddes and L.E.Baker, ‘Principles of Applied Bio-Medical Instrumentation’, John
Wiley & Sons, 1975.
4. J.Webster, ‘Medical Instrumentation’, John Wiley & Sons, 1995.
5. C.Rajarao and S.K. Guha, ‘Principles of Medical Electronics and Bio-medical
Instrumentation’, Universities press (India) Ltd, Orient Longman ltd, 2000.

Page | 33

Downloaded from Ktunotes.in


COURSE PLAN

02EC6142.1 MEDICAL INSTRUMEMTATION L-T-P:Credits 3-0-0: 3 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Cell and its structure – Action and resting – Potential
propagation of action potential – Sodium pump – Nervous
1 system – CNS – PNS – Nerve cell – Synapse – Cardio 8 15
pulmonary system – Physiology of heart and lungs –
Circulation and respiration.
Transducers – Different types – Piezo-electric, ultrasonic,
resistive, capacitive, inductive transducers – Selection
2 6 15
criteria.

First Internal Test

Fundamentals of Transducers for measurement of: Physical


parameters temperature, pH, conductivity, Chemical
3 7 15
parameters, Biomedical parameters - pathological
parameters, Detection of alpha, beta and gamma radiation.
BASIC components of a biomedical system – Electrodes
– Micro, needle and surface electrodes –Amplifiers –
4 Preamplifiers, differential amplifiers, chopper amplifiers – 8 15
Isolation amplifier.

Second Internal Test

ECG –EEG – EMG – ERG – Lead systems and recording


methods – Typical waveforms Measurement of blood
pressure – Cardiac output – Cardiac rate – Heart sound
5 – Respiratory rate –Gas volume – Flow rate of CO2, O2 12 20
in exhaust air - pH of blood, ESR, GSR measurements –
Plethysmography. Latest developement in measurement
systems.
X-ray machine - Radio graphic and fluoroscopic
techniques – Computer tomography – MRI –
Ultrasonography – Endoscopy – Thermography – Different
types of biotelemetry systems and patient monitoring –
6 12 20
Electrical safety, Pacemakers – Defibrillators –
Ventilators – Nerve and muscle stimulators – Diathermy –
Heart – Lung machine – Audio meters – Dializers. Recent
trends in radiographic techniques.

Page | 34

Downloaded from Ktunotes.in


02EC6142.2 RF SYSTEM DESIGN L-T-P:Credits 3-0-0:3 2015

Course Objectives

 Give an introduction to the basis of RF Engineering.


 Make the students familiarise RF devices and applications.
 Demonstrate how different building blocks such as amplifiers, oscillators and
filters work together to build RF transmitters and receivers.
 The course shows how the electromagnetic theory applies to RF systems and is a
pathway towards more advanced courses on antennas & propagation and
telecommunications.
Syllabus

Introduction to RF systems, Review of RLC network and Smith chart, RF diodes and transistors
Introduction to Noise and LNA Design. Mixer characteristics, Oscillators, Negative resistance
oscillators. RF Power Amplifiers, Phase Locked Loops, Introduction Deep sub micron CMOS

Course Outcome

At the completion of this course, you will be able to:


 Perform systems-level analysis of equipment operating at radio frequencies (RF).
 Design active RF sub-systems for applications ranging from sensing, measurements and
telecommunications.
 Analyse RF system against stated performance parameters including but not restricted to:
gain, dynamic range, noise.
 Perform detailed analysis and design of transmission lines at RF.
 Perform detailed analysis and design of waveguides at RF.

References

1. D. M. Pozar, “Microwave Engineering,” 4th Edition, Wiley, 2012.


2. C. Bowick, “RF circuit design,” 2nd Edition, Newnes, 2007.
3. R. C. Li, “RF Circuit Design,” 2nd Edition, John Wiley & Sons, 2012.
4. G. Gonzalez, “Microwave Transistor Amplifiers: Analysis and Design,” 2nd Edition,
Prentice Hall, 1996.
5. T. H. Lee, “Planar Microwave Engineering: A Practical Guide to Theory, Measurement,
and Circuits,” Cambridge University Press, 2004.
6. D. M. Pozar, “Microwave and RF Design of Wireless Systems,” John Wiley & Sons,
2001.

Page | 35

Downloaded from Ktunotes.in


COURSE PLAN

02EC6142.2 RF SYSTEM DESIGN L-T-P: Credits 3-0-0: 3 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Introduction- RF systems – bASIC architectures
Transmission media and reflections, Maximum power
1 transfer. Review of passive RLC networks, Matching- Pi 8 15
match, T match. Introduction to Smith chart.

RF Diodes- Bipolar Junction Transistor –RF Field Effect


Transistor-High Electron Mobility Transistors- Diode
2 models- Transistor models- measurement of active devices- 8 15
scattering parameter device characterization.

First Internal Test

Noise: Thermal, shot, flicker, popcorn noise, Two port


Noise theory, Noise Figure, LNA Design- intrinsic MOS
3 10 15
noise parameters Power match versus noise match Large
signal performance, Single ended and Differential LNA’s.
Mixer characteristics, Non-linear based mixers, Quadratic
mixers, Multiplier based mixers, Single balanced and
4 double balanced mixers, Sub sampling mixers, Oscillators 8 15
describing Functions, Colpitt’s oscillators, Resonators,
Tuned Oscillators, Negative resistance oscillators.

Second Internal Test

RF Power Amplifiers- Class A, AB, B, C amplifiers Class


D, E, F amplifiers RF Power amplifier design examples
5 Characteristics of amplifier-amplifier power relations- 10 20
stability consideration, high power and multistage
amplifiers.
Phase locked loops- Linearised PLL models Phase
detectors, charge pumps Loop filters, PLL design
examples- Integer-N frequency synthesizers, Direct Digital
6 10 20
Frequency synthesizers-Deep submicron CMOS –Varactor
in a Deep Submicron CMOS process.

Page | 36

Downloaded from Ktunotes.in


02EC6142.3 NANOELECTRONICS L-T-P: Credits 3-0-0:3 2015

Course Objectives

 Introduction to Electronics at Nano scale.


 Gets a thorough understanding of laws governing atomic structure, reversible
computation and quantum logic.

Syllabus

Particles and waves, Materials for nanoelectronics, Growth, fabrication, and measurement
techniques for nanostructures, Techniques for characterization of nanostructures, Nanostructure
devices, Multiple quantum wells, Coulomb blockade effect.

Course Outcome

 After the course the student will be capable to Design, analyze, and develop quantum
computing systems.

References

1. C. N. R. Rao, A. Muller, A. K. Cheetham, The Chemistry of Nanomaterials :Synthesis,


Properties and Applications, Volume 1, Wiley-VCH, Verlag GmbH, Germany (2004).
2. J.M. Martinez, Duart,R.J. Martin Palma,F. Agulle Rueda Nanotechnology for
Microelectronics and optoelectronics , Elsevier,2006.
3. B. Premlet, Nanoelecronics, Phasor publication.
4. W.R. Fahrner, Nanotechnology and Nanoelctronics, Springer, 2005.
5. K. Goser, P. Glosekotter, J. Dienstuhl, Nanoelectronics and nanosystems, Springer 2004.
6. Supriyo Dutta, Quantum Transport- Atom to transistor, Cambridge University Press,
2005.
7. T. Pradeep, Nano the Essentials, TMH, 2007.
8. Poole, Introduction to Nanotechnology ,John Wiley 2006.
9. Chattopadhyay,Banerjee, Introduction to Nanoscience & Technology,PHI 2009.

Page | 37

Downloaded from Ktunotes.in


COURSE PLAN

02EC6142.3 NANO ELECTRONICS L-T-P:Credits 3-0-0: 3 2015

MODULE CONTENTS Hours Sem. Exam


Marks,%
Particles and waves- Introduction Classical particles
Classical waves, Wave- particle Duality, Wave mechanics-
1 8 15
Introduction Schrodinger wave equation, Wave mechanics
of particles.
Materials for nanoelectronics- Introduction to
Semiconductors Electron energy bands, Semiconductor
heterostructures, Lattice-matched and pseudomorphic
2 8 15
heterostructures, Inorganic-organic heterostructures,
Carbon nanomaterials: nanotubes.

First Internal Test

Growth, fabrication, and measurement techniques for


nanostructures- Introduction, Bulk, crystal and
3 heterostructure growth, Nanolithography, Etching, and 8 15
other means for fabrication of nanostructures and
nanodevices.
Techniques for characterization of nanostructures,
Spontaneous formation and ordering of
nanostructures, Clusters and nanocrystals, Methods of
4 nanotube growth, Chemical and biological methods for 8 15
nanoscale fabrication, Fabrication of nano-
electromechanical systems.

Second Internal Test

Nanostructure devices- Resonant-tunneling diodes, analysis


of Field-Effect Transistors, Single Electron Transfer
5 devices Potential Effect Transistors, Light Emitting Diodes 8 20
and LASERs Nano-electromechanical systems.

Multiple Quantum wells- Characteristics, super lattices and


Kronnig Penney Model, Hot Electron Transistors, QD
capacitors, Coulomb blockade effect quantum well subband
6 8 20
photo detectors, Infrared detector, Nanoswitches. Case
study of NEMS.

Page | 38

Downloaded from Ktunotes.in


02EC6142.4 ROBOTICS L-T-P: Credits 3-0-0: 3 2015

Course Objectives

 Analyze the kinematics of robot arms and force propagation through linkages.
 Develop dynamic models for robot arms and robot control strategies.
 Perform path and motion planning.
 Develop simulations of robotic systems.

Syllabus

Robot Anatomy, Elements of robots, Different kinds of actuators , DC and AC Servo Motors,
Grippers, Sensors- Different Types, Sensing and Digitizing Image, Lighting Techniques,
Homogeneous transformations, Robot Kinematics, Inverse Manipulator Kinematics, Motion
planning and control, Nonlinear model based control schemes, Simulation and Experimental
Case study of manipulators, Modeling and analysis of wheeled mobile robots.

Course Outcome

 Design, model, analyze, simulate and develop robotic systems.

References

1. Gonzalez, R. C., Fu, K. S. and Lee, C.S.G. ” Robotics Control Sensing, Vision and
Intelligence”, McGraw Hill (1987).
2. Ghosal, A.,”Robotics: Fundamental Concepts and Analysis”, Oxford University Press,
2nd reprint, 2008.
3. Saeed B Niku., ”Introduction to Robotics ”, John Wiley & Sons; 2nd Edition edition (27
October 2010).
4. Merlet, J. P., ”Parallel Robots”, Kluwer Academic, Dordrecht, 2008.
5. Haug, E. J.,”Computer-Aided Kinematics and Dynamics of Mechanical Systems: BASIC
Methods”, Vol. 1, Allyn and Bacon, 1989.
6. Siciliano, B., and Khatib, O. (Editors),”Handbook of Robotics”, Springer, 2008.
7. Craig, J. J.,”Introduction to Robotics: Mechanics and Control”, 2nd Edition, Addison-
Wesley, 1989.
8. Koren,Y., ” Robotics for Engineers”, McGraw Hill (1985).
9. Niku S.B.,”Introduction to Robotics, Analysis, Systems, Applications”, Dorling
Kingsley.

Page | 39

Downloaded from Ktunotes.in


COURSE PLAN

02EC6142.4 ROBOTICS L-T-P:Credits 3-0-0: 3 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Robot – Definition – Robot Anatomy – Co-ordinate
Systems, Work Envelope, types and classification –
Specifications – Pitch, Yaw, Roll, Joint Notations, robot
1 characteristics, bASIC structure of robots, resolution, 8 15
accuracy and repeatability Pay Load Introduction –
Historical information, Elements of robots – links, joints,
actuators, and sensors.
Different kinds of actuators – Pneumatic Drives –
Hydraulic Drives –Electrical Drives – D.C. Servo Motors,
A.C. Servo Motors – Salient Features, stepper and
brushless motors, model of a DC servo motor, Types of
2 transmissions, Applications and Comparison of Drives 7 15
End Effectors – Grippers – Mechanical Grippers,
Pneumatic and Hydraulic Grippers, Magnetic Grippers,
Vacuum Grippers-Two Fingered and Three Fingered
Grippers-Internal Grippers and External Grippers.

First Internal Test

Purpose of sensors, internal and external sensors, common


sensors – encoders, tachometers, strain gauge based force-
torque sensors, proximity and distance measuring sensors,
and vision. Range Sensors (Triangulation Principle,
Structured, Lighting Approach, Time of Flight Range
3 Finders, LASER Range Meters), Proximity Sensors 9 15
(Inductive, Hall Effect, Capacitive, Ultrasonic and
Optical Proximity Sensors), Touch Sensors, (Binary
Sensors, Analog Sensors), Wrist Sensors, Compliance
Sensors, Slip Sensors. Camera, Frame Grabber.

Sensing and Digitizing Image Data – Signal Conversion,


Image Storage, Lighting Techniques. Image Processing
and Analysis – Data Reduction: Edge detection,
Segmentation Feature Extraction and Object Recognition,
Applications – Inspection, Identification, Visual Serving
4 9 15
and Navigation.Homogeneous Transformations, Position
and orientation of a rigid body, Robot Kinematics: Position
Analysis forward and inverse kinematics of robots,
including frame representations, transformations, position
and orientation analysis, and representation of joints, link

Page | 40

Downloaded from Ktunotes.in


representation using D-H parameters, Examples of D-H
parameters and link transforms.

Second Internal Test

Denavit - Hartenberg representation of robot kinematics,


the manipulators, the wrist motion and grippers. Examples-
Kinematics analysis and inverse kinematics analysis of four
axis, five axis and six axis robot. Differential motions,
5 Inverse Manipulator Kinematics: differential motions and 10 20
velocity analysis of robots and frames. Motion planning
and control- Joint and Cartesian space trajectory planning
and generation, Classical control concepts using the
example of control of a single link.
Independent joint PID control, Control of a multi-link
manipulator, Nonlinear model based control schemes,
Simulation and experimental case studies on serial and
parallel manipulators, Control of constrained manipulators.
6 10 20
Modeling and analysis of wheeled mobile robots -
Introduction and some well known wheeled mobile robots
(WMR), two and three-wheeled WMR on flat surfaces, Slip
and its modeling, WMR on uneven terrain.

Page | 41

Downloaded from Ktunotes.in


02EC6142.5 INDUSTRIAL AUTOMATION L-T-P: Credits 3-0-0:3 2015

Course Objectives

 To educate on design of signal conditioning circuits for various applications.


 To educate on signal transmission techniques and their design.
 Study of components used in data acquisition systems interface techniques.
 To educate on the components used in distributed control systems.
 To introduce the communication buses namely field bus and profibus.

Syllabus

Design of Signal Conditioning and Transmission, Data Acquisition and Instrument Interface
PLC and SCADA, Distributed Control System, Communication Protocols, Fieldbus, Profibus.

Course Outcome

Design, model, analyze, PLC and SCADA.

References

1. Alan s Morris “Measurement And Instrumentation Principles”, Elsevier ,2006.


2. C.J.Chesmond, P.A.Wilson & M.R. Le Pla “Advanced Control System Technology” ,
Viva Books Private Limited, 1998.
3. Patrick H.Garrett “High Performance Instrumentation and Automation” CRC Press,
Taylor & Francis Group, 2005.

COURSE PLAN

02EC6142.5 INDUSTRIAL AUTOMATION L-T-P:Credits 3-0-0: 3 2015


MODULES CONTENTS Contact Sem. Exam
Hours Marks,%
Design of V/I Converter and I/V Converter-, Level
Measurement, Temperature measurement: Thermocouple,
RTD and Thermistor Cold Junction Compensation and
Linearization Electrical, Pneumatic and Fiber Optic
Transmissions -Digital transmission protocols-Study of 2
1 8 15
wire and 4 wire transmitters– Design of RTD based
Temperature Transmitter, Thermocouple based
Temperature Transmitter, Capacitance based Level
Transmitter and Smart Flow Transmitters-Smart Sensors.

Page | 42

Downloaded from Ktunotes.in


Programming and simulation of Building block of
instrument Automation system –Signal analysis, I/O port
configuration with instrument bus protocols - ADC, DAC,
2 DIO, Counters & Timers, PC hardware structure, timing, 9 15
interrupts, DMA, software and hardware installation,
current loop, RS 232/RS485, GPIB, USB protocols.

First Internal Test

Evolution of PLC –Sequential and Programmable


Controllers –Architecture –Programming of PLC –Relay
logic and Ladder logic –Functional blocks –
3 9 15
Communication Networks for PLC. PLC based control of
processes –Computer control of liquid level system –heat
exchanger –Smart sensors and Field bus.

SCADA:-Remote terminal units, Master station,


Communication Architectures and Open SCADA
protocols.
4 10 15
Evolution -Different architectures -Local control unit -
Operator Interface –Displays -Engineering interface- Study
of any one DCS available in market -Factors to be
considered in selecting DCS.

Second Internal Test

Introduction-Evolution of signal standard –HART


Communication Protocol –Communication modes –HART
5 9 20
Networks –HART commands –HART and OSI models-
HART applications.
Fieldbus:-Introduction, General Fieldbus architecture,
BASIC requirements of Fieldbus standard, Fieldbus
topology, Interoperability and Interchangeability Profibus:-
6 Introduction, Profibus protocol stack, Profibus 10 20
communication model, Communication objects, System
operation and Troubleshooting –Foundation fieldbus versus
Profibus.

Page | 43

Downloaded from Ktunotes.in


ELECTIVE 3

02EC6152.1 LOW POWER VLSI DESIGN L-T-P: Credits 3-0-0:3 2015

Course Objective

 To study the concepts on different levels of power estimation and optimization


techniques.
 Design of Low Power Adders, Multipliers and Memories.

Syllabus

Need for low power design, Power and Energy Basics, Circuit level power optimization, Power
optimization in memory circuits, Low Power Design of Adders, Multipliers and Memories.

Course Outcome

 The students are able to analyze and design circuits such as Adders, Multipliers and
Memories.
 Students are able to understand various optimization techniques.

References

1. CMOS Digital Integrated Circuits – Analysis and Design – Sung-Mo Kang, Yusuf
Leblebici, TMH, 2011.
2. Low-Voltage, Low-Power VLSI Subsystems – Kiat-Seng Yeo, Kaushik Roy, TMH
Professional Engineering.
3. Introduction to VLSI Systems: A Logic, Circuit and System Perspective – Ming-BO Lin,
CRC Press, 2011.
4. Practical Low Power Digital VLSI Design – Gary K. Yeap, Kluwer Academic Press,
2002.
5. Low Power CMOS VLSI Circuit Design – A. Bellamour, M. I. Elamasri, Kluwer
Academic Press, 1995.
6. Leakage in Nanometer CMOS Technologies – Siva G. Narendran, Anatha Chandrakasan,
Springer, 2005.

Page | 44

Downloaded from Ktunotes.in


COURSE PLAN

02EC6152.1 LOW POWER VLSI DESIGN L-T-P: Credits 3-0-0: 3 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Need for low power design, Nanometer transistor behavior
and models, Sub-threshold currents and leakage Power and
1 Energy Basics: Metrics, Dynamic power, Static power 9 15
Energy– delay trade-offs.

Circuit level power optimization: Dynamic-power


optimization, Static-power optimization, System and
2 7 15
architecture level Power optimization, Interconnect
optimization and clock distribution.

First Internal Test

Power optimization in memory circuits, Power optimization


techniques in standby mode, Runtime optimization
3 9 15
techniques. Ultra low power design concepts.

Low-Voltage Low-Power Adders: Introduction, Standard


Adder Cells, CMOS Adder’s Architectures – Ripple Carry
4 7 15
Adders, Carry Look Ahead Adders, Carry Select Adders,
Carry Save Adders.

Second Internal Test

Low-Voltage Low-Power Multipliers: Introduction,


Overview of Multiplication, Types of Multiplier
5 Architectures, Braun Multiplier, Baugh Wooley Multiplier, 9 20
Booth Multiplier, Introduction to Wallace Tree Multiplier.

Low-Voltage Low-Power Memories: Basics of ROM, Low-


Power ROM Technology, Future Trend and Development
of ROMs, Basics of SRAM, Memory Cell, Precharge and
6 Equalization Circuit, Low-Power SRAM Technologies, 8 20
Basics of DRAM, Self-Refresh Circuit, Future Trend and
Development of DRAM.

Page | 45

Downloaded from Ktunotes.in


02EC6152.2 OPTIMIZATION TECHNIQUES L-T-P: Credits 3-0-0: 3 2015

Course Objectives

 Introduce the concept of design optimization and model the Engineering Problem
mathematically.
 Introduce different optimization algorithms to students.
 Enable students to be able to write computer program to numerically solve optimization
problems.

Syllabus

Introduction, Classification of optimization problems, classical optimization techniques,


Unimodal function, Dichotomous, Fibonacci. Golden section method, Interpolation methods
unconstrained optimization technique, Stochastic programming, BASIC concepts of probability
theory, random variables.

Course Outcome

This course primarily contributes to Engineering Program outcomes that develop student abilities
to:
 An ability to apply knowledge of mathematics, science and engineering.
 An ability to identify, formulate and solve engineering problems.
 An ability to use the techniques, skills and modern engineering tools necessary for
engineering practice appropriate to the degree discipline.

References

1. Rao S. S Optimization: Theory and Application Wiley Eastern Press, 2nd edition 1984.
2. Taha H.A., Operations Research –An Introduction,Prentice Hall of India,2003.
3. Fox R.L., Optimization methods for Engineering Design, Addition Welsey, 1971.
4. G V Reklaitiss, A Ravindran & K M Rajsdell, "Engineering optimization, Methods and
Applications'John Wiley & Sons.
5. A Ravindran, Don T Philips and Jamer J Solberg, Operations Research - Principles and
Practice John Wiley & Sons.

Page | 46

Downloaded from Ktunotes.in


COURSE PLAN

02EC6152.2 OPTIMIZATION TECHNIQUES L-T-P: Credits 3-0-0: 3 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Introduction- Classification of optimization problems
classical optimization techniques- single variable
optimization– multivariable with no constraints-
1 8 15
multivariable with equality constraints, direct substitution
method, method of Lagrange multipliers.

Unimodal function, methods of single variable optimization


- bisection method, interval halving method, Dichotomous,
2 Fibonacci. Golden section method, comparison of 6 15
elimination methods.

First Internal Test

Interpolation methods quadratic interpolation methods,


cubic interpolation method, direct root method , Newton
3 method, quasi Newton method ,secant method, comparison 9 15
of methods.

Unconstrained optimization technique- classification rate


of convergence scaling of design variables, direct search
methods ,random search methods, grid search univariate
4 7 15
method , Pattern search methods- Hookes- Jeeves method,
Powell’s method.

Second Internal Test

Genetic algorithms - differences and similarities between


conventional and evolutionary algorithms, working
principle, reproduction, crossover, mutation, termination
5 9 20
criteria, different reproduction and crossover operators, GA
for constrained optimization, drawbacks of GA.

Stochastic programming - BASIC concepts of probability


theory, random variables- distributions-mean, variance,
6 correlation, covariance, joint probability distribution- 10 20
stochastic linear, dynamic programming.

Page | 47

Downloaded from Ktunotes.in


02EC6152.3 INDUSTRIAL DRIVES AND CONTROL L-T-P: Credits 3-0-0:3 2015

Course Objectives

 To understand the bASIC concepts of different types of electrical machines and their
performance.
 To study the different methods of starting D.C motors and Induction motors.
 To study the Conventional and Solid-State Drives.

Syllabus

BASIC Elements, Types of Electric Drives, Mechanical characteristics, DC motors, Stepper


Motors, Types of DC Motor starters, Speed control of DC series and shunt motors, Buck-Boost
SMPS Topologies. Half and Full Bridge Converters, Speed control of three phase induction
motor, Adaptive Control.

Course Outcome
 By the end of the course, students will be able to understand, analyze, design and
optimize industrial drives and associated circuits.

References

1. Vedam Subrahmaniam, “Electric Drives (concepts and applications)”, Tata McGraw-


Hill, 2001
2. Nagrath .I.J. & Kothari .D.P, “Electrical Machines”, Tata McGraw-Hill, 1998
3. Pillai.S.K “A first course on Electric drives”, Wiley Eastern Limited, 1998
4. M.D.Singh, K.B.Khanchandani, “Power Electronics”, Tata McGraw-Hill, 1998

COURSE PLAN

02EC6152.3 INDUSTIAL DRIVES AND CONTROL L-T-P: Credits 3-0-0: 3 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
BASIC Elements, Types of Electric Drives, factors
influencing the choice of electrical drives, heating and
cooling curves, Loading conditions and classes of duty,
1 selection of power rating for drive motors with regard to 8 15
Thermal Overloading and Load variation factors.
Mechanical Characteristics, Speed-Torque characteristics
of various types of load and drive motors, Braking of

Page | 48

Downloaded from Ktunotes.in


Electrical motors, DC motors: Shunt, series and compound
-single phase and three phase induction motors.

Stepper Motors, Constructional features, principle of


operation, modes of excitation, single phase stepping
motors, torque production in variable Reluctance (VR)
2 stepping motor, Dynamic characteristics, Drive systems 7 15
and circuit for open loop control, Closed loop control of
stepping motor.

First Internal Test

Types of D.C Motor starters, Typical control circuits for


shunt and series motors, Three phase squirrel cage and slip
ring induction motors. Speed control of DC series and
9 20
3 shunt motors, Armature and field control, Ward- Leonard
control system- Using controlled rectifiers and DC
choppers applications.
Buck, Boost, Buck-Boost SMPS Topologies. BASIC
Operation-Waveforms-modes of operation – Output voltage
ripple-State space modeling-Simulation and closed loop
control system design. Push-Pull and Forward Converter
4 7 15
Topologies-BASIC operation. Waveforms-Voltage Mode
Control.

Second Internal Test

Half and Full Bridge Converters. BASIC Operation and


Waveforms -Fly back Converter, Continuous and
Discontinuous mode operation, Waveforms. Speed control
5 of three phase induction motor, Voltage control, voltage / 8 20
frequency control, slip power recovery scheme, Using
inverters and AC voltage regulators applications.

Adaptive Control, Effects of process variation, Adaptive


schemes, Adaptive Control problem, Applications Current
Regulated PWM Voltage Source Inverters-Methods of
6 Current Control, Hysteresis Control, Variable Band 8 20
Hysteresis Control, Fixed Switching Frequency Current
Control Methods.

Page | 49

Downloaded from Ktunotes.in


02EC6152.4 MIXED SIGNAL CIRCUIT DESIGNS L-T-P: Credits 3-0-0:3 2015

Course Objective

 To learn about Device Modeling, Various types of analog systems, CMOS Amplifiers
and Comparators.

Syllabus

Introduction to Op amp and Op amp Topologies, Introduction to Switched Capacitor circuits,


BASIC sampling circuits for analog signal sampling, Introduction to D/A converter and its
various types, Introduction to A/D converter and its various types, Noise shaping modulators,
Filtering topologies.

Course Outcome

 Students are able to understand the concepts of analog design.


 Able to design systems including Data converters, CMOS Amplifiers, Comparators and
Switched Capacitor Circuits.

References

1. Design of Analog CMOS Integrated Circuits- Behzad Razavi, TMH Edition, 2002
2. CMOS Analog Circuit Design - Philip E. Allen and Douglas R. Holberg, Oxford
University Press, International Second Edition/Indian Edition, 2010
3. Analog Integrated Circuit Design- David A. Johns,Ken Martin, Wiley Student Edition,
2013
4. Gregorian, Temes, "Analog MOS Integrated Circuit for Signal Processing", John Wiley
& Sons

Page | 50

Downloaded from Ktunotes.in


COURSE PLAN

02EC6152.4 MIXED SIGNAL CIRCUIT DESIGNS L-T-P: Credits 3-0-0: 3 2015


MODULES CONTENTS Contact Sem. Exam
Hours Marks,%
Introduction to op amp, Op amp Topologies: Telescopic,
1 Folded- Cascode, OTA Two-Stage Operational Amplifier: 8 15
Miller Compensation, common mode feedback.
Introduction to Switched Capacitor circuits- bASIC
building blocks, Operation and Analysis, some practical
circuits such as switched capacitor integrator, biquad
2 8 15
circuit, and switched capacitor filter, switched capacitor
amplifier, Non-ideal effects in switched capacitor circuits.

First Internal Test

BASIC sampling circuits for analog signal sampling.


Different types of sampling switches Sample-and-Hold
Architectures: Open-loop & closed-loop architectures,
3 8 15
open-loop architecture with miller capacitance, switched
capacitor architecture, current-mode architecture.

Input/output characteristics of an ideal D/A converter,


performance metrics of D/A converter, Nyquist rate D/A
4 converters-D/A converter architectures: Resistor-Ladder 8 15
architectures, current-steering architectures.

Second Internal Test

Input/output characteristics and quantization error of an


A/D converter, performance metrics of A/D converter. A/D
converter architectures: Successive approximation
5 8 20
converters, Flash converter, Interpolating A/D converters,
Folding A/D converters, Pipelined A/D converters.

Noise shaping modulators, Decimating filters and


interpolating filters Filtering topologies - bilinear transfer
6 function and biquadratic transfer function, Higher order 8 20
modulators, Delta sigma D/A.

Page | 51

Downloaded from Ktunotes.in


02EC6152.5 MECHATRONICS L-T-P: Credits 3-0-0:3 2015

Course Objectives:

 This course provides students an introduction to Mechatronics and provides an insight on


systems, system devices, Direct Numerical Control and Computer Aided Planning.

Syllabus

Introduction to Mechatronics, mechatronics systems, fundamentals of numerical control, System


drives, AC and DC motors, counting devices, Digital to Analog converters, Interpolators,
Actuation systems- Pneumatic, Hydraulic and Mechanical systems, Direct Numerical Control
(DNC), Computer Aided Process Planning.

Course Outcome:

 After the completion of this course, students will be familiarized with Mechatronics
concepts, design process, systems, system devices and Direct Numerical Control systems.
Students will also be equipped with good knowledge on Computer aided programming.

References:

1. Bolton W., Mechatronics: A Multidisciplinary Approach, 4/e, Pearson Education,


2008.
2. Koren Y., Computer Control of Manufacturing Systems, McGraw Hill, 1983.
3. HMT, Mechatronics, TMH, 2008.
4. Groover M. P., M. Weiss, R. N. Nagel and N. G. Odrey, Industrial Robots - Technology,
Programming and Applications, McGraw Hill, 1986.
5. Groover M. P. and E. W. Zimmers, CAD/CAM: Computer Aided Design and
Manufacturing, Prentice Hall, 1984.
6. Koren Y. and B. Yuri, Numerical Control of Machine Tools, Khanna Publishers, 1984.

Page | 52

Downloaded from Ktunotes.in


COURSE PLAN

02EC6152.5 MECHATRONICS L-T-P: Credits 3-0-0: 3 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Introduction to Mechatronics - What is Mechatronics -
design process – systems - measurement systems - control
systems - Programmable Logic Controllers - examples of
Mechatronics systems - Fundamentals Of Numerical
1 8 15
Control - advantages of NC systems -classification of NC
systems - point to point and contouring systems - NC and
CNC -incremental and absolute systems - Machining
Tools Sensors.
System devices - system drives - hydraulic systems -
DC motors - Stepping Motors - AC motors -feedback
devices - encoders - pulse digitizers - resolvers -
2 Tachometers - counting devices - flip flops - counters - 8 15
decoders - D/A converters - interpolation - linear
interpolator - circular interpolators.

First Internal Test

Pneumatic and Hydraulic actuation systems -Actuation


systems, pneumatic and hydraulic systems, pumps,
3 directional control valves, pressure control valves, flow 8 20
control valves, cylinders, pneumatic and hydraulic circuits.

Mechanical actuation systems, mechanical systems, types


of motion, Kinetin chains, cams, gear trains, belt and chain
4 8 15
drives, bearings, mechanical aspects of motor selection.

Second Internal Test

CNC System- configuration – interfacing- monitoring-


diagnostics-compensation Direct Numerical Control
(DNC)- DNC configurations-connecting NC/CNC
5 10 20
machines to DNC systems-DNC system hardware
components- DNC software.

Computer Aided Process Planning - Material Requirement


Planning - part programming - manual programming -
concepts - tape formats - tab sequential - fixed block
6 10 20
word address and variable block formats - part
programming examples - point to point programming and
simple contour programming .

Page | 53

Downloaded from Ktunotes.in


02EC6162 MINI PROJECT L-T-P: Credits 0-0-4 :2 2015

The student is expected to design and implement a mini project work. A report on the project work done
by him/her is to be prepared and present a paper highlighting the work done by him/her in a seminar.

Distribution of marks
Project evaluation by guide : 40 Marks
Presentation and evaluation by committee : 60 Marks

02EC6172 VLSI AND EMBEDDED SYSTEM LAB L-T-P: 0-0-2: 1 2015

Course Objectives

 Familiarizing TANNER tool.


 Familiarizing CMOS analog and digital.
 Application of VHDL programming.
 Familiarizing of FPGA kit.
 Familiarizing of ARM kit.

EXPERIMENTS USING TANNER TOOLS:

CMOS circuits
 Inverter
 Transmission Gate
 Tristate Inverter
 Three input AND gate

EXPERIMENTS USING VHDL


 Logic Gates
 Half Adder and Subtractor
 Full Adder and Subtractor
 Arithmetic logic unit design
 Encoder
 Decoder
 D-Flipflop
 Parity Checker

Familiarization of ARM processor

Course Outcomes
 On completion of the LAB student will be capable doing programming in FPGA kit.
 On completion of the LAB student will get a sound understanding of CMOS and
TANNER.

Page | 54

Downloaded from Ktunotes.in


SEMESTER III

ELECTIVE 4

02EC7111.1 OPTICAL INSTRUMENTATION L-T-P: Credits 4-0-0:4 2015

Course Objectives:

 To study the basic concepts of optics, various optical components, its fabrication and
testing.
 To study the various optical instruments such as telescopes, microscopes,
spectroscopes, interferometers etc.
 To provide the concept of biological optical instrument, defects and correction
methods of it.
 To study the classification of LASER Gyroscopes, working and applications of them.

Syllabus

Introduction to optical components, fabrication and testing of components, Telescopes and


microscopes, Optomedical instruments, Infrared instrumentation, Stops and Photographic
systems, Spectroscopes and interferometers, Photometry, Projection Systems and
Refractometers, LASER Gyroscopes, Fiber Optics Gyros (FOG).

Course Outcome:

 Students are be able to test optical components.


 Students are be able to find the different types of telescopes used in optical technology
and study about Morie self imaging and speckle metrology.

References

1. Fowles G.R., Introduction to Modern Optics, 2nd Edition, Holt, Rienhart and Winston,
1975.
2. Bruce H & Walkar, Optical Engineering Fundamentals, PHI, 2003
3. R. Khandpur, “Biomedical instrumentation- technology and applications”, McGraw
Hill, 2005.
4. Silvano Donati “Electro-Optical Instrumentation”, Pearson Education, Inc., 2004.

Page | 55

Downloaded from Ktunotes.in


COURSE PLAN

02EC7111.1 OPTICAL INSTRUMENTATION L-T-P: Credits 4-0-0:4 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Critical angle, linear and angular magnifications,
cardinal points, optical aberrations-corrections. Optical
materials, Optical components, polarizing components.
1 Basics of optical design, Ray tracing, Fabrication and 8 15
testing of optical components. Image intensifiers and
Night vision devices.

Telescopes and microscopes- reflecting and refracting


telescopes, eyepieces, microscope-objectives,
binocular, stereoscopic, phase contrast, polarizing and
atomic force microscopes – Airy’s disc, resolving
2 power of a telescope and microscope and brightness 10 15
Optomedical instruments, optical coherence
tomography, Infrared instrumentation, IR telescopes,
Morie self imaging and speckle metrology.

First Internal Test

Stops and Photographic systems-theory of stops –


aperture stop – entrance and exit pupils, telecentric
stop and applications, requirements for photographic
3 objectives – Eye as an optical instrument, defects of 10 15
eye and correction methods, Space optics, Adaptive
optics, Large space structures.

Spectroscopes and interferometers- gratings and its


application in spectroscopes. Double beam and
multiple beam interferometry – Fabry-Perot
4 Interferometer –Michelson and Twyman-Green 8 15
Interferometers – Mach Zehnder, Interferometers -
applications – optical spectrum analyzer.

Second Internal Test

Photometry, projection Systems and Refractometers -


different sources for optical experiments – LASERs –
5 bASIC laws of photometry, Abbe and Kohler illuminations 8 20
– episcope – epi-dioscope, slide and overhead projectors –
computer based projection systems – polarizing

Page | 56

Downloaded from Ktunotes.in


instruments. Ellipsometry and applications in material
research.

LASER Gyroscopes -Sagnac effect, BASIC gyro


configurations. Ring LASER Gyros (RLG)- Dithered RLG,
Ring Zeeman LASER gyro, performance of RLG. Fiber
Optics Gyros (FOG)- Open loop FOG, Requirements on
6 FOG components, technology to implement FOG, Closed 8 20
loop FOG, the resonant FOG MEMS gyro, Piezoelectric
gyro. Biomedical application -LASER instruments for
surgery.

Page | 57

Downloaded from Ktunotes.in


02EC7111.2 MODERN ELECTRONIC INSTRUMENTATION
L-T-P : Credits 4-0-0:4 2015

Course Objectives:

 To get fundamental idea of DAQ, ADC, DAC.


 To get ideas about Virtual Instrumentation.

Syllabus

Basics of electronic instrumentation, Data acquisition basics, I/O port configuration with
instrument bus protocols, PC hardware structure, Common Instrument Interface standards,
Automatic Test Equipments (ATE), Virtual Instrumentation, Development of Virtual Instrument
using GUI, VI programming techniques, Publishing measurement data in the web, Applications
of Virtual Instrumentation: VI as an educational tool, Test automation, Instrument Control,
Process automation, Simulation of different systems using VI.

Course Outcome

 Gets an idea about Electronic Instrumentation and Virtual Instrumentation


programming.

References

1. Patrick H. Garrett, “High performance Instrumentation and Automation”, CRC Press, Taylor
& Francis Group.
2. Kevin James, PC Interfacing and Data Acquisition: Techniques for Measurement,
Instrumentation and Control, Newnes, 2000.
3. Gary Johnson, LabVIEW Graphical Programming, Second edition, McGraw Hill, Newyork.
4. Lisa K. wells & Jeffrey Travis, LabVIEW for everyone, Prentice Hall, New Jersey.

Page | 58

Downloaded from Ktunotes.in


COURSE PLAN

02EC7111.2 MODERN ELECTRONIC INSTRUMENTATION L-T-P: Credits 4-0-0:4 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Basics of electronic instrumentation, Data acquisition
Basics, Introduction to data acquisition on PC, Sampling
fundamentals, DAQ Programming, Signal analysis- I/O
1 port configuration with instrument bus protocols - ADC, 8 15
DAC, Digital I/O- counters & timers, PC hardware
structure- timing- interrupts- DMA- current loop.

Instrument Automation, Common Instrument Interface


standards: Current loop, RS 232C/ RS485, GPIB. Bus
2 Interfaces: USB, PCMCIA, VXI, SCSI, PCI, PCI Express, 10 15
PXI, Firewire. Ethernet and LXI, VISA. Automatic Test
Equipments (ATE).

First Internal Test

Virtual Instrumentation: Evolution, advantages over


traditional instruments, block diagram and architecture of a
3 10 15
virtual instrument, data-flow techniques, graphical
programming in data flow, comparison with conventional
programming.
Development of Virtual Instrument using GUI, VI
4 uncertainty, Real-time systems. Concept of Interchangeable 8 15
Virtual Instruments (IVI). Introduction to VI programming.

Second Internal Test

VI programming techniques: VIs and sub-VIs, loops and


charts, arrays, clusters and graphs, case and sequence
5 structures, formula nodes, local and global variables, string 8 20
and file I/O, Instrument Drivers, Publishing measurement
data in the web.

Applications of Virtual Instrumentation: VI as an


educational tool, Test automation, Instrument Control,
6 Process automation, Simulation of different systems using 8 20
VI, Development of Control system, Industrial
Communication, Motion control etc.

Page | 59

Downloaded from Ktunotes.in


02EC7111.3 COMPUTER AIDED DESIGN OF CONTROL SYSTEMS
L-T-P: Credits: 4-0-0:4 2015

Course Objectives

 As a post graduate level course on Computer Aided Design, this course assure to deliver
the students, a thorough understanding of the mathematical principles of Computer Aided
Design of Control Systems and practical tools like MATLAB and SIMULINK used for
Computer Aided Design of Control Systems.

Syllabus

Design process, Quantitative behavior and Stability Theory , Liapunov stability theorems , Root
locus analysis and Design State Space Analysis, State feedback and pole placement, Control
system design using MATLAB & SIMULINK. Design of feedback control systems – Robust
Control Systems and Case studies.

Course Outcome:

 Learn the mathematical principles of Computer Aided Design of Control Systems.


 Learn the use of MATLAB and SIMULINK used for Computer Aided Design of Control
Systems.

References :

1. Raymond T Stefani etal, ”Design of feedback control system”, 3rd Edn.,Saunder’s


College Publishing.
2. Bernard Friedland, ”Advanced Control System Design”, PHI
3. John J D’Azoo, Constantine H Houpis, ”Linear Control System Analysis & Design”,
4thEdn., Mc. Graw Hill.
4. Edward W Kamen, Bonnies S Heek,”Fundamentals of Signals and Systems using
MATLAB”.
5. Robert H Bishop, ”Modern Control Systems Analysis & Design using MATLAB &
SIMULINK”, Addison Wesley.

Page | 60

Downloaded from Ktunotes.in


COURSE PLAN

02EC7111.3 COMPUTER AIDED DESIGN OF CONTROL SYSTEMS


L-T-P: Credits 4-0-0:4 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Introduction -The design process – Quantitative behavior
and stability theory –Liapunov stability theorems –Root
1 locus analysis–construction –design concepts . Case study- 8 15
A light source tracking system.

Root locus design –cascade P plus I compensator –cascade


2 lead compensator –cascade lag lead compensator. Case 10 15
study- Control of a flexible space craft.

First Internal Test

State space analysis -state space representation –state


transformation and diagonalisation –stability–
controllability –Observability –state space design –State
3 10 15
feedback and pole placement –case study- A magnetic
levitation system.

Control system design using MATLAB & SIMULINK


Part-I. Introduction –the design process –simulating simple
4 systems with SIMULINK –mathematical modes of systems 8 15
–state variable models.

Second Internal Test

Control system design using MATLAB & SIMULINK


5 Part-II. Feedback control system characteristics – 8 20
performance of feedback control systems.

Control system design using MATLAB & SIMULINK


Part-III. Stability of linear feedback systems –Root locus
6 8 20
method –Design of feedback control systems –Design of
state variable feedback systems –Robust control systems.

Page | 61

Downloaded from Ktunotes.in


02EC7111.4 COMMUNICATION PROTOCOLS FOR INSTRUMENTATION
L-T-P: Credits 4-0-0:4 2015

Course Objective:

 To acquire knowledge about data communication Basics and networks in process


automation.
 To acquire knowledge about different communication protocols.

Syllabus

Data Communication Basics, Network Classification, Device Networks, Introduction to


Networks in process automation, Network Architectures, Industry open protocols, Fieldbus,
HART, Wireless Protocols.

Course Outcome :

 Ability to enhance knowledge about configuring advanced controller for a given


application.
 Ability to calibrate the transmitters used in industries.
 Ability to design and develop the analog and digital controllers.
 Ability to implement the different communication protocols for industrial applications.

References

1. B.G. Liptak, ‘Process Software and Digital Network”, CRC Press ISA- The Instrumentation,
Systems, and Automation Society.
2. User Manuals of Foundation Fieldbus, Profibus, Modbus, Ethernet, Devicenet, Controlnet,
IEC 61850.

3. Peterson Davie, “Computer Networks—A System Approach”, Morgan Kaufman Publication.

Page | 62

Downloaded from Ktunotes.in


COURSE PLAN
02EC7111.4 COMMUNICATION PROTOCOLS FOR INSTRUMENTATION
L-T-P: Credits 4-0-0:4 2015
MODULES CONTENTS Contact Sem. Exam
Hours Marks,%
Data Communication basics, OSI reference model,
Network Classification, Device Networks, Control
1 8 15
Networks, Enterprise Networks.

Introduction to Networks in process automation,


Information flow requirements, Industry Networks,
2 10 15
Network selection.

First Internal Test

Network Architectures, Building blocks, Industry open


protocols: RS-232, RS- 422, RS-485, Ethernet, Modbus,
3 Profibus, Fieldbus; Hardware: Fieldbus Design, 9 15
Advantages and Limitations.

Fieldbus: Fieldbus Trends, Hardware selection, Fieldbus


4 design, Installation, Documentation, Fieldbus advantages 8 15
and limitations.

Second Internal Test

HART: Introduction, Design, Installation, calibration,


5 commissioning, Application in Hazardous and Non- 8 20
Hazardous area
Wireless Protocols: WPAN, Wi-Fi, Bluetooth, ZigBee, Z-
6 wave. Case study of different wireless protocol. 8 20

Page | 63

Downloaded from Ktunotes.in


02EC7111.5 ARTIFICIAL INTELLIGENCE L-T-P: Credits 4-0-0:4 2015

Course Objectives

 To familiarize students with Artificial Intelligence techniques for building well-


engineered and efficient intelligent systems.
 Pattern-directed inference systems and different types of truth maintenance systems will
be discussed in length from both theoretical and applied point of view.
 Some cutting edge applications of these systems will also be discussed.

Syllabus

Problem solving, Introduction, agents, problem formulation logical reasoning, planning


uncertain knowledge and reasoning, learning from observation, Introduction to Prolog.

Course Outcome:

By the end of this course, the students will be able to:


 Use various symbolic knowledge representation to specify domains and reasoning tasks
of a situated software agent.
 Use different logical systems for inference over formal domain representations, and trace
how a particular inference algorithm works on a given problem specification.
 Understand the conceptual and computational trade-offs between the expressiveness of
different formal representations.

References

1. S. Russel and P. Norvig, “Artificial Intelligence – A Modern Approach”, Second Edition,


Pearson Education, 2003.
2. David Poole, Alan Mackworth, Randy Goebel, ”Computational Intelligence : A logical
approach”, Oxford University Press, 2004.
3. G. Luger, “Artificial Intelligence: Structures and Strategies for complex problem
solving”, Fourth Edition, Pearson Education, 2002.
4. J. Nilsson, “Artificial Intelligence: A New Synthesis”, Elsevier Publishers, 1998.
5. Bratko, I. (2001).” PROLOG programming for artificial intelligence”. Addison-Wesley
(3rd or 4th ed.).
6. Sterling, L. & Shapiro, E. (1994). The art of Prolog. MIT Press (2nd ed.).

Page | 64

Downloaded from Ktunotes.in


COURSE PLAN

02EC7111.5 ARTIFICIAL INTELLIGENCE L-T-P:Credits 4-0-0: 4 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Introduction – Agents – Problem formulation –
1 uninformed search strategies – heuristics informed search 8 15
strategies – constraint satisfaction.
Logical agents – propositional logic – inferences – first-
2 order logic – inferences in first order logic – forward 10 15
chaining – backward chaining – unification – resolution.

First Internal Test

3 Planning with state-space search – partial-order planning – 10 15


planning graphs – planning and acting in the real world.

Uncertainty – review of probability – probabilistic


4 Reasoning – Bayesian networks – inferences in Bayesian 8 15
networks – Temporal models – Hidden Markov models.

Second Internal Test

Learning from observation - Inductive learning – Decision


trees – Explanation based learning – Statistical Learning
5 8 20
methods - Reinforcement Learning.

Introduction to Prolog. The structure of a Prolog program


and how to use the Prolog interpreter. Unification revisited.
6 Some simple programs. Arithmetic and lists. Prolog’s 8 20
support for evaluating arithmetic expressions and lists.

Page | 65

Downloaded from Ktunotes.in


ELECTIVE 5

02EC7121.1 WIRELESS SENSORS AND SYSTEMS L-T-P: Credits 3-0-0:3 2015

Course Objective:
 Introduction to the concepts of wireless sensors and associated circuits and networking.
 To enable students to appreciate various applications of wireless sensor networks.
 To impart design principles of wireless networks.

Syllabus
Challenges for Wireless Sensor Networks, Enabling Technologies For Wireless Sensor
Networks. Single-Node Architecture - Hardware Components, Energy Consumption of Sensor
Nodes , Operating Systems and Execution Environments, Network Architecture Sensor Network
Scenarios, Optimization Goals and Figures of Merit, Gateway Concepts. Physical Layer and
Transceiver Design Considerations, MAC Protocols for Wireless Sensor Networks, Low Duty
Cycle Protocols And Wakeup Concepts - S-MAC , The Mediation Device Protocol, Wakeup
Radio Concepts, Address and Name Management, Assignment of MAC Addresses, Routing
Protocols.

Learning Outcome:

By the end of the course students will be able to understand analyze ,design and optimize
wireless sensors and networks.

References

1. Kazem Sohraby, Daniel Minoli and Taieb Znati, “ Wireless Sensor Networks Technology,
Protocols, and Applications“, John Wiley & Sons, 2007.
2. Holger Karl and Andreas Willig, “Protocols and Architectures for Wireless Sensor Networks”,
John Wiley & Sons, Ltd, 2005.
3. K. Akkaya and M. Younis, “A survey of routing protocols in wireless sensor networks”,
Elsevier Ad Hoc Network Journal, Vol. 3, no. 3, pp. 325--349
2.Philip Levis, “ TinyOS Programming”
3.Anna Ha´c, “Wireless Sensor Network Designs”, John Wiley & Sons Ltd,

Page | 66

Downloaded from Ktunotes.in


COURSE PLAN
02EC7121.1 WIRELESS SENSOR AND SYSTEMS L-T-P:Credits 3-0-0:3 2015

MODULES CONTENTS Contact Sem. Exam


Hours Marks,%
Introduction - The vision of Ambient Intelligence ,
Application examples, Types of applications, Challenges
for Wireless Sensor Networks , Mobile ad hoc networks
andwireless sensor networks, Fieldbuses and wireless
1 sensor networks, Single-node architecture Hardware 8 15
components, Energy consumption of sensor nodes,
Relationship between computation and communication,
Power consumption of sensor and actuators, Some
examples of sensor nodes.
Network architecture, Sensor network scenarios, Three
types of mobility Optimization goals and figures of merit,
Quality of service, Energy efficiency, Scalability,
Robustness, Design principles for WSNs, Physical layer,
2 10 15
Wireless channel and communication fundamentals,
Physical layer and transceiver design considerations in
WSNs.

First Internal Test

MAC protocols, Fundamentals of (wireless) MAC


protocols, Low duty cycle protocols and wakeup concepts,
Contention-based protocols, Schedule-based protocols, The
3 10 15
IEEE 802.15.4 MAC protocol, Link-layer protocols,
Fundamentals: tasks and requirements, Error control,
Framing, Link management.
Naming and addressing, Fundamentals, Address and name
management in wireless sensor networks, Assignment of
MAC addresses, Distributed assignment of locally unique
addresses, Content-based and geographic addressing, Time
4 8 15
synchronization, Introduction to the time synchronization
problem, Protocols based on sender/receiver
synchronization, Protocols based on receiver/receiver
synchronization.

Second Internal Test

Localization and positioning, Properties of localization and


positioning procedures, Possible approaches, Mathematical
5 8 20
basics for the lateration problem, Single-hop localization,
Positioning in multihop environments, Topology control,

Page | 67

Downloaded from Ktunotes.in


Motivation and bASIC ideas, Controlling topology in flat
networks – Power control, Hierarchical networks by
dominating sets, Hierarchical networks by clustering,
Combining hierarchical topologies and power control.
The many faces of forwarding and routing, Gossiping and
agent-based unicast forwarding, Energy-efficient unicast,
6 8 20
Broadcast and multicast, Geographic routing, Mobile
nodes.

Page | 68

Downloaded from Ktunotes.in


02EC7121.2 NEURO FUZZY SYSTEMS L-T-P:Credits 3-0-0:3 2015

Course Objectives:

 To understand the concepts and terminologies of fuzzy systems.


 To study the concepts of crisp sets, fuzzy sets and fuzzy networks.
 To study various applications of fuzzy systems.
 To provide an idea about artificial neural networks.

Syllabus

Introduction to fuzzy sets and systems, Type- 2 fuzzy sets. Operations on fuzzy set. Extension
Principle and its application. Fuzzy relation- operations Applications-Fuzzy logic controllers,
Types of FLC, Multi input multi output control system. FLC with different case studies. PID
controller. Introduction to Neural Networks, BASIC neural network models .Back-Propagation
algorithms and its application, BASIC learning models. Introduction to Neural Fuzzy Controller-
Structure-rules.

Course Outcome
 Able to understand the concepts of Fuzzy systems, design fuzzy logic controllers.
 Acquainted with Neural Networks, various Learning Algorithms, and applications.
 Understand Adaptive Neuro-Fuzzy systems.

References:

1. Ross T. J., Fuzzy Logic with Engineering Applications, Wiley, 3/e, 2010.
2. Satish Kumar., Neural Networks, A Classroom Approach McGraw Hill 2/e 2012.
3. Lin C. T. and C.S. G. Lee, Neural Fuzzy Systems, Prentice Hall, 1996.
4. Ibrahim A. M., Introduction to Applied Fuzzy Electronics, PHI, 2013.
5. Rajasekaran and Pai, Neural Networks Fuzzy Logic and Genetic Algorithms, PHI, 2003.
6. Simon Haykin, ‘Neural Networks’, 3rd Edition, Prentice Hall, 2008.
7. Christopher M. Bishop, Neural Networks for Pattern Recognition, Oxford University
Press, 1995.
8. Martin T. Hagan, Howard B. Demuth, Mark Beale, Neural Network Design, Vikas
Thomson Learning, 2003.

Page | 69

Downloaded from Ktunotes.in


COURSE PLAN
02EC7121.2 NEURO FUZZY SYSTEMS L-T-P: Credits : 3-0-0:3 2015

MODULES CONTENTS Contact Sem.Exam


Hours Marks,%
Introduction to fuzzy sets and systems-crispness,
vagueness, uncertainty and fuzziness. Basics of fuzzy sets,
membership functions, support of a fuzzy set height,
normalized fuzzy set, alpha cuts. Type- 2 fuzzy sets.
1 Operation on fuzzy set-complement, intersection, union, 6 15
Demorgan's Law Equality & subset hood. Law of excluded
middle and contradiction, concentration, dilation, contrast
intensification.

Extension Principle and its application. Fuzzy relation-


operations, projection, max-min , min-max composition,
cylindrical extension. Reflexivity, symmetry and
transitivity of fuzzy relations. Fuzzy prepositions, fuzzy
2 connectives, linguistic variables, hedges, Approximate 8 15
reasoning or fuzzy inference, Fuzzy rule based system.
Fuzzification and defuzzification using centroid, centre of
sums.

First Internal Test

Applications-Fuzzy logic controllers, Types of FLC- Types


of Fuzzy rule formats. Block diagram of fuzzy logic
controller. Multi input multi output control system. FLC
3 8 15
with different case studies. PID controller. Air Conditioner
controller using Fuzzy logic.

Introduction to Neural Networks, Biological Neurons and


Neural Networks, Networks of Artificial Neurons. Single
Layer Perceptron, Learning and Generalization in Single
Layer Perceptron, Hebbian Learning, Gradient Descent
4 Learning, learning rates, Widrow-Hoff Learning, The 8 15
Generalized Delta Rule, Practical Considerations BASIC
neural network models ADALINE networks, LMS
algorithm, Learning in Multi- Layer Perceptrons.

Second Internal Test

Back-Propagation algorithms, Radial Basis Function


5 Networks: Fundamentals, Algorithms and Applications, 10 20

Page | 70

Downloaded from Ktunotes.in


Learning with Momentum, Conjugate Gradient Learning,
Bias and Variance. Under-Fitting and Over-Fitting.
Applications of Multi-layer Perceptrons. BASIC learning
models Associative Learning, Competitive Networks,
Winner-take-all networks.

Introduction to Neural Fuzzy Controller- Neural Fuzzy


controller with hybrid structure, Parameter learning for
Neural fuzzy controllers – Neural Fuzzy controller with
6 10 20
Fuzzy singleton Rules. Introduction to ANFIS- Structure of
an ANFIS – Neural Fuzzy controller with TSK fuzzy rules.

Page | 71

Downloaded from Ktunotes.in


02EC7121.3 PWM SCHEMES FOR POWER CONVERTERS
L-T-P: Credits 3-0-0:3 2015

Course Objectives:
 To understand the bASIC concepts of different types of PWM schemes and their
performance.
 Study of multi level inverters, modulation schemes, optimum switching and DSP based
implementation.

Syllabus

Inverters: Three phase Voltage Source Inverters (VSI), bASIC two-level inverters, Fundamental
Concepts of PWM methods, Zero vectors and importance of their placement in PWM ,Harmonic
Distortion, Multi-level inverters, Sine triangle PWM and Space vector PWM 3-level inverters,
Optimum switching in space vector PWM, Over modulation of a Voltage Source Inverter,
Current hysterisis control of a Voltage Source Inverter , Introduction to Random PWM strategy.

Course Outcome:
 By the end of the course students will be able to understand, analyze, design and optimize
Multi level inverters, modulation schemes and associated systems.

References
1. G.Holmes & T.A. Lipo, ” Pulse width Modulation for Power Converters, Principle and
practice”, IEEE Press, 2003.
2. M.P.Kazmierkowski,”Control of Power Converters : Selected Problems”, Academic
Press, 2003.
3. Bimal K.Bose “Modern Power Electronics and AC Drives”, Pearson Education, Second
Edition, 2003.
4. Ned Mohan,Undeland and Robbin, “Power Electronics: Converters, Application and
Design” John Wiley and Sons.Inc,Newyork,1995.

Page | 72

Downloaded from Ktunotes.in


COURSE PLAN

02EC7121.3 PWM SCHEMS FOR POWER CONVERTERS L-T-P: Credits 3-0-0:3 2015
MODULES CONTENTS Contact Sem.Exam
Hours Marks,%
Inverters; Three phase Voltage Source Inverters (VSI),
bASIC two-level inverters: topology derivation and
switching schemes; Fundamental Concepts of PWM
1 methods: sine-triangle and space-phasor methods. 8 15
Comparison of Sine-Triangle PWM and Space Vector
PWM.

Zero vectors and importance of their placement in PWM -


Harmonic Distortion- Harmonic Distortion factors for 3
2 10 15
phase inverters, Harmonic loses in PWM.

First Internal Test

Multi-level inverters: bASIC topology derivation


3 Fundamental Concepts, Modulation schemes- Comparison 10 15
of multilevel inverters – application of multilevel inverters.
Sine triangle PWM and Space vector PWM 3-level
inverters, Extension of the schemes to higher level
4 8 15
inverters. Optimum switching in space vector PWM.

Second Internal Test

Over modulation of a Voltage Source Inverter- Space


Vector approach to Over modulation - Implementation of
5 8 20
PWM controllers- Overview, DSP based implementation.

Current hysterisis control of a Voltage Source Inverter -


6 Introduction to Random PWM strategy. 8 20

Page | 73

Downloaded from Ktunotes.in


02EC7121.4 COMPUTERISED PROCESS CONTROL L-T-P:Credits 3-0-0:3 2015

Course Objectives
 To explore the concepts of SCADA System.
 To study about Process Modeling for Computerized Process Control.

Syllabus
Introduction to Computer Control system: Functional block diagram.
Introduction to SCADA System Architecture-Architecture Introduction to Virtual
Instrumentation. Basics of Computer-Aided Process Control- Classification of a Computer –
Aided Process Control System Computer-Aided Process–control Architecture, Process related
Interfaces Industrial communication System: Communication Networking, Data Transfer
Techniques, Real Time Operating System Process Modeling for computerized Process control
Modeling Procedure. Advanced Strategies for Computerised Process Control: Examples of
Computerized Process Control.

Course Outcome
 Understand the concepts of role of computers in process control.
 Understand and Analyze Centralized Control Systems, Distributed Control Systems,
Hierarchical Computer Control Systems.

References
1. Liptak, B. G. (E.d.), “Instrument Engineers Handbook”, vol. I to III, M. C. Graw Hill.
2. S. K. Singh, “Computer Aided Process Control”, PHI.
3. C. L. Smith, “Digital Computer Process Control”,Ident Educational Publishers.
4. C. D. Johnson, “Process Control Instrumentation Technology”, PHI.
5. Pradeep B. Deshpande& Raymond H. Ash, “Element of Computer Process Control with
Advance Control Applications”, Instrument Societyof America, 1981.
6. C. M. Houpis & G. B.Lamond, “Digital Control System Theory”, TataMcGrawHill.

Page | 74

Downloaded from Ktunotes.in


COURSE PLAN

02EC7121.4 COMPUTERISED PROCESS CONTROL L-T-P: Credits 3-0-0:3 2015

MODULES CONTENTS Contact Sem.Exam


Hours Marks,%
Introduction to Computer Control system: Functional block
diagram. Data Acquisition Systems. Supervisory Control
and control digital control (DDC) and their working.
Introduction to SCADA System Architecture Significance
1 and its application in industry. Introduction to Virtual 8 15
Instrumentation: graphical programming data flow &
Advantages of VI techniques, VIS & Virub, VIS loops &
charts , arrays.

Basics of Computer-Aided Process Control: Role of


computers in process control, Elements of a computer aided
Process control System, Classification of a Computer –
Aided Process Control System Computer-Aided Process–
Control Architecture: Centralized Control Systems,
2 Distributed control Systems, Hierarchical Computer control 10 15
Systems. Economics of Computer-Aided Process control.
Benefits of using Computers in a Process control. Process
Related Interfaces: Analog Interfaces, Digital Interfaces,
Pulse Interfaces, Standard Interfaces.

First Internal Test

Industrial Communication System: Communication


Networking, Industrial communication Systems, Data
Transfer Techniques, Computer Aided Process control
3 10 15
software, Types of Computer Control Process Software,
Real Time Operating System.

Process Modeling for Computerized Process control:


Process model, Physical model, Control Model, Process
4 modeling. Modeling Procedure: Goals Definition, 8 15
Information Preparation, Model Formulation, Solution
Finding, Result Analysis, Model Validation.

Second Internal Test

Advanced Strategies for Computerised Process Control:


Cascade Control, Predictive Control, Adaptive Control,
5 8 20
Inferential Control, Intelligent Control, Statistical Control.

Page | 75

Downloaded from Ktunotes.in


Examples of Computerized Process Control: Electric
Oven Temperature Control, Reheat Furnace Temperature
6 control, Thickness and Flatness control System for metal 8 20
Rolling, Computer Aided Control of Electric Power
Generation Plant.

Page | 76

Downloaded from Ktunotes.in


02EC7121.5 COMPUTER AIDED DESIGN FOR VLSI
L-T-P:Credits 3-0-0:3 2015

Course Objective
 To provide an introduction to the fundamentals of Computer-Aided Design tools for the
modeling, design, analysis, test, and verification of digital Very Large Scale Integration
(VLSI) systems.

Syllabus
VLSI Design Cycle, Physical Design Cycle, New Trends in Physical Design Cycle, Layout
editors, Introduction to VLSI Methodologies - VLSI Physical Design Automation - Design and
Fabrication of VLSI Devices - Fabrication Process, Partitioning –Classification of Partitioning
Algorithms, Floor Planning – Classification of floor planning algorithms, constraint based floor
planning, Pin Assignment – Classification of pin assignment algorithms, Placement –
Classification of placement algorithms, Global Routing – Classification of global routing
algorithms, Verilog Basics, Automatic Test Program Generation; Combinational testing D-
Algorithm and PODEM algorithm.

Course Outcome:
 Establish comprehensive understanding of the various phases of CAD for digital
electronic systems, from digital logic simulation to physical design, including test and
verification.
 Demonstrate knowledge and understanding of fundamental concepts in CAD.
 Demonstrate knowledge of computational and optimization algorithms and tools
applicable to solving CAD related problems.
 Establish capability for CAD tool development and enhancement.

References
 N.A. Sherwani, " Algorithms for VLSI Physical Design Automation ", 1999.
 S.H. Gerez, " Algorithms for VLSI Design Automation ", 1998.4. J. Bhasker, "A VHDL
Primer", Addison-Weseley Longman Singapore Pte Ltd. 1992
 Drechsler, R., Evolutionary Algorithms for VLSI CAD, Kluwer Academic Publishers,
Boston, 1998.
 Verilog HDL by Samir Palnitkar.
 S.H. Gerez, "Algorithms for VLSI Design Automation", John Wiley & Sons, 2002.

Page | 77

Downloaded from Ktunotes.in


COURSE PLAN
02EC7121.5 COMPUTER AIDED DESIGN FOR VLSI
L-T-P:Credits. 3-0-0:3 2015
MODULE CONTENTS Contact Sem. Exam
Hours Marks,%
VLSI Design Cycle, New Trends in VLSI Design Cycle,
Physical Design Cycle, New Trends in Physical Design
Cycle, Various CAD Tools for front end and Back end
design, Schematic editors, Layout editors, Place and Route
1 8 15
tools. Introduction to VLSI Methodologies - VLSI Physical
Design Automation - Design and Fabrication of VLSI
Devices - Fabrication process.

Partitioning –Classification of Partitioning algorithms,


Kernighan-Lin Algorithm, Simulated Annealing, Floor
Planning – Classification of floor planning algorithms,
2 10 15
constraint based floor planning, Rectangular Dualization.

First Internal Test

Pin Assignment – Classification of pin assignment


algorithms, General and channel Pin assignments,
3 Placement – Classification of placement algorithms, 10 15
Partitioning based placement algorithms;

Global Routing – Classification of global routing


algorithms, Maze routing algorithms, Detailed Routing –
4 Classification of routing algorithms, Single layer routing 8 15
algorithms;

Second Internal Test

Verilog Basics - Modeling Levels - Data Types - Modules


and Ports - Instances - BASIC Language Concepts -
5 Dataflow modeling - Behavioral modeling Modeling and 8 20
Simulation of systems/subsystems using Verilog HDL.

Automatic Test Program Generation; Combinational testing


D-Algorithm and PODEM algorithm; Scan-based testing of
6 8 20
sequential circuits; Testability measures for circuits.

Page | 78

Downloaded from Ktunotes.in


02EC7131 SEMINAR L-T-P: Credits 0-0-2: 2 2015

The student is expected to present a seminar in one of the current topics in Applied Electronics
and Instrumentation. The student will undertake a detailed study based on current published
papers, journals, books on the chosen subject and submit seminar report at the end of the
semester.

Marks:
Seminar Report Evaluation : 50 Marks
Seminar Presentation : 50 Marks

02EC7141 PROJECT (Phase I) L-T-P: Credits 0-0-8:6 2015

The student is expected to start the preliminary background studies towards the project by
conducting a literature survey in the relevant field. He/she should broadly identify the
area of the project work, familiarize with the design and analysis tools required for the
project work and plan the experimental platform, if any, required for project work. It comprises
preliminary project work, two seminars and submission of project (Phase I) report. The first
seminar would highlight the topic, objectives and methodology and the second seminar will be a
presentation of the work they have completed and the scope of the work which is to be
accomplished in the fourth semester, mentioning the expected results.
Distribution of marks
Progress evaluation by project suprevisor : 20 Marks
Presentation and evaluation by the Committee : 30 Marks

Page | 79

Downloaded from Ktunotes.in


SEMESTER IV

02EC7112 PROJECT (Phase 2) L-T-P:Credits 0-0-21:12 2015

The student has to continue the project work done the third semester. There would be an
interim presentation at the first half of the semester to evaluate the progress of the work and at
the end of the semester there would be a pre-submission seminar before the Evaluation
committee for assessing the quality and quantum of work. At least once technical paper is
to be prepared for possible publication in Journals/Conferences.

Distribution of marks
Progress evaluation by project suprevisor : 30 Marks
Presentation and evaluation by the Committee : 40 Marks
Evaluation by the external expert : 30 Marks

Page | 80

Downloaded from Ktunotes.in

You might also like