0% found this document useful (0 votes)
81 views14 pages

+ + Verification of Demorgan'S Theorem + +: Xyz X Y Z

Uploaded by

Efaz321
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
81 views14 pages

+ + Verification of Demorgan'S Theorem + +: Xyz X Y Z

Uploaded by

Efaz321
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 14

Problem Solutions, Global Edition – Chapter 2

CHAPTER 2
© Pearson Education Limited 2016.

2-1.*
a) XYZ = X + Y + Z
Verification of DeMorgan’s Theorem
X Y Z XYZ XYZ X +Y + Z

0 0 0 0 1 1
0 0 1 0 1 1
0 1 0 0 1 1
0 1 1 0 1 1
1 0 0 0 1 1
1 0 1 0 1 1
1 1 0 0 1 1
1 1 1 1 0 0
b) X + YZ = ( X + Y ) ⋅ ( X + Z )
The Second Distributive Law
X Y Z YZ X + YZ X+Y X+Z (X + Y)(X + Z)

0 0 0 0 0 0 0 0
0 0 1 0 0 0 1 0
0 1 0 0 0 1 0 0
0 1 1 1 1 1 1 1
1 0 0 0 1 1 1 1
1 0 1 0 1 1 1 1
1 1 0 0 1 1 1 1
1 1 1 1 1 1 1 1
c) XY + YZ + XZ = XY + YZ + XZ
X Y Z XY YZ XZ XY + YZ + XZ XY YZ XZ XY + YZ + XZ

0 0 0 0 0 0 0 0 0 0 0
0 0 1 0 1 0 1 0 0 1 1
0 1 0 1 0 0 1 0 1 0 1
0 1 1 1 0 0 1 0 0 1 1
1 0 0 0 0 1 1 1 0 0 1
1 0 1 0 1 0 1 1 0 0 1
1 1 0 0 0 1 1 0 1 0 1
1 1 1 0 0 0 0 0 0 0 0

2-2.*
a) X Y + XY + XY = X +Y

= ( X Y + X Y ) + ( XY + XY )
= X (Y + Y ) + Y ( X + X )
= X +Y

1
Problem Solutions, Global Edition – Chapter 2

b) AB + BC + AB + BC = 1
= ( AB + AB ) + ( BC + BC )
= B ( A + A) + B (C + C )
B+B= 1
c) Y + XZ + XY = X +Y + Z
=Y + XY + XZ
= (Y + X )(Y + Y ) + XZ
=Y + X + XZ
=Y + ( X + X )( X + Z )
= X +Y + Z
d) XY + Y Z + XZ + XY + YZ = X Y + XZ + YZ

= XY + YZ ( X + X ) + XZ + XY + YZ
=XY + XYZ + XYZ + XZ + XY + YZ
= XY (1 + Z ) + XYZ + XZ + XY + YZ
= XY + XZ (1 + Y ) + XY + YZ
= XY + XZ + XY ( Z + Z ) + YZ
= XY + XZ + XYZ + YZ (1 + X )
= XY + XZ (1 + Y ) + YZ
= XY + XZ + YZ

2-3.+
a) ABC + BCD + BC + CD = B + CD
= ABC + ABC + BC + BCD + BCD + CD
= AB (C + C ) + BC ( D + D) + BC + CD
= AB + BC + BC + CD
=B + AB + CD
= B + CD
b) WY + WYZ + WXZ + WXY = WY + WXZ + XYZ + XYZ
=
(WY + WXYZ ) + (WXYZ + WXYZ ) + (WXYZ + WXYZ ) + (WXYZ + WXY Z )
=
(WY + WXYZ ) + (WXYZ + WXY Z ) + (WXYZ + WXYZ ) + (WXYZ + WXYZ )
= WY + WXZ (Y + Y ) + XYZ (W + W ) + XYZ (W + W )
=WY + WXZ + XYZ + XYZ
c) AD + AB + CD + BC = ( A + B + C + D)( A + B + C + D)

= AD + AB + CD + BC
=
( A + D)( A + B )(C + D)( B + C )
= ( AB + AD + BD)( BC + BD + CD)
= ABCD + ABCD
= ( A + B + C + D)( A + B + C + D) = ( A + B + C + D)( A + B + C + D)

2
Problem Solutions, Global Edition – Chapter 2

2-4.+
Given: A ⋅ B= 0, A + B= 1

Prove: ( A + C )( A + B )( B + C ) = BC
= ( AB + AC + BC )( B + C )
= AB + AC + BC
=
0 + C ( A + B)
= C ( A + B )(0)
=C ( A + B )( A + B )
= C ( AB + AB + B )
= BC

2-5.+
Step 1: Define all elements of the algebra as four bit vectors such as A, B and C:
A = (A 3 , A 2 , A 1 , A 0 )
B = (B 3 , B 2 , B 1 , B 0 )
C = (C 3 , C 2 , C 1 , C 0 )
Step 2: Define OR 1 , AND 1 and NOT 1 so that they conform to the definitions of AND, OR and NOT
presented in Table 2-1.
a) A + B = C is defined such that for all i, i = 0, ... ,3, C i equals the OR 1 of A i and B i .
b) A B = C is defined such that for all i, i = 0, ... ,3, C i equals the AND 1 of A i and B i .
c) The element 0 is defined such that for A = “0”, for all i, i = 0, ... ,3, A i equals logical 0.
d) The element 1 is defined such that for A = “1”, for all i, i = 0, ... ,3, A i equals logical 1.
e) For any element A, A is defined such that for all i, i = 0, ... ,3, Ai equals the NOT 1 of A i .

2-6.
a) WXY + WY + XYW + WXY
= XY + WY + WXY
= XY (1 + W ) + WY
= XY + WY
b) (W + X )(W + Y + X )
(W + X )(W + Y ) + X (W + X )
= WY + WX + XY + XW
c) XYZ + XYZ + XYZ + XZ = XY + XZ + XYZ = XY + XZ
d) (W + X + Y )( X +=
Y ) WXY ( X +=
Y ) WXY
e) WXY + XYZ + XY + YZ + WXY
= WXY + XYZ + XY + YZ
= WXY + X (Y + Z ) + YZ
= XW + XY + XZ + YZ

2-7.*
a) XY + XYZ + XY = X + XYZ = ( X + XY )( X + Z ) = ( X + X )( X + Y )( X + Z )
= ( X + Y )( X + Z ) = X + YZ

3
Problem Solutions, Global Edition – Chapter 2

b) X + Y ( Z + X + Z ) =X + Y ( Z + XZ ) =X + Y ( Z + X )( Z + Z ) =X + YZ + XY
=( X + X )( X + Y ) + YZ = X + Y + YZ = X + Y
c) WX ( Z + YZ ) + X (W + WYZ ) = WXZ + WXYZ + WX + WXYZ
= WXZ + WXZ + WX = WX + WX = X
d) ( AB + AB)(CD + CD ) + AC = ABCD + ABCD + ABCD + ABCD + A + C
= ABCD + A + C = A + C + A( BCD ) = A + C + C( BD ) = A + C + BD

2-8.
a) XY + WY + WX =Y ( X + W ) + WX =Y + X + W + W + X
b) XY + WY + WX =
XY .WY .WX

c) Y ( X + W ) + WX =
Y WX

2-9.*
a) F=
( A + B)( A + B)
b) F=((V + W ) X + Y ) Z
c) F = [W + X + (Y + Z )(Y + Z )][W + X + YZ + YZ ]
d) F= ABC + ( A + B)C + A( B + C)

2-10.*
Truth Tables a, b, c
X Y Z a A B C b W X Y Z c
0 0 0 0 0 0 0 1 0 0 0 0 0
0 0 1 0 0 0 1 1 0 0 0 1 0
0 1 0 0 0 1 0 0 0 0 1 0 1
0 1 1 1 0 1 1 1 0 0 1 1 0
1 0 0 0 1 0 0 0 0 1 0 0 0
1 0 1 1 1 0 1 0 0 1 0 1 0
1 1 0 1 1 1 0 0 0 1 1 0 1
1 1 1 1 1 1 1 1 0 1 1 1 0
1 0 0 0 0
1 0 0 1 0
1 0 1 0 1
1 0 1 1 0
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 1

4
Problem Solutions, Global Edition – Chapter 2

a) Sum of Minterms: XYZ + XYZ + XYZ + XYZ


Product of Maxterms: ( X + Y + Z )( X + Y + Z )( X + Y + Z )( X + Y + Z )
b) Sum of Minterms: ABC + ABC + ABC + ABC
Product of Maxterms: ( A + B + C)( A + B + C)( A + B + C )( A + B + C)
c) Sum of Minterms: WXYZ + WXYZ + WXYZ + WXYZ + WXYZ + WXYZ + WXYZ
Product of Maxterms: (W + X + Y + Z )(W + X + Y + Z )(W + X + Y + Z )
(W + X + Y + Z )(W + X + Y + Z )(W + X + Y + Z )
(W + X + Y + Z )(W + X + Y + Z )(W + X + Y + Z )

2-11.
a) F=
Σm(3, 4, 5, 6, 7) =
ΠM (0, 1, 2) E=
Σm(1, 3, 6, 7) =
ΠM (0, 2, 4, 5)
b) F = ΠM (3, 4, 5, 6, 7) E = ΠM (1, 3, 6, 7)
c) F+E=Σm(1, 3, 4, 5, 6, 7) F ⋅E =Σm(3, 6, 7)
d) F + E = XYZ + XYZ + XY Z + XYZ + XYZ + XYZ
F ⋅ E= XYZ + XYZ + XYZ
e) XYZ + XYZ + XYZ = XYZ + XY = YX + ZY

2-12.*
a) ( AB + C)( B + CD ) = AB + ABCD + BC = AB + BC s.o.p.
= B( A + C) p.o.s.
b) X + X ( X + Y )(Y + Z ) =( X + X )( X + ( X + Y )(Y + Z ))
= ( X + X + Y )( X + Y + Z ) p.o.s.
= (1 + Y )( X + Y + Z ) = X + Y + Z s.o.p.
c) ( A + BC + CD )( B + EF ) = ( A + B + C)( A + B + D )( A + C + D )( B + EF )
= ( A + B + C)( A + B + D )( A + C + D )( B + E)( B + F ) p.o.s.
( A + BC + CD )( B + EF ) = A( B + EF ) + BC ( B + EF ) + CD ( B + EF )
=AB + AEF + BCEF + BCD + CDEF s.o.p.

2-13.
A b) c) B
a) Y
B D
Z A
C Y C
A Z A
C
X
B B
W
C D
Y A
B
X C
Z

5
Problem Solutions, Global Edition – Chapter 2

2-14.
a) 00 01 11 10 b) 00 01 11 10 c) 00 01 11 10 d) 00 01 11 10
0 1 0 1 1 0 1 0 1 1
1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

A + BC AB + AC AB + BC A+C

2-15.*
a) Y b) B c) B
1 1 1 1 1 1 1 1 1
X 1 1 A 1 A 1 1 1
Z C C
XZ + XY A + CB B+C

2-16.
a) 00 01 11 10 b) 00 01 11 10 c) 00 01 11 10 d) 00 01 11 10
00 1 00 00 1 1 00 1 1
01 1 1 1 1 01 1 1 1 01 1 1 1 1 01
11 1 1 11 1 1 11 1 1 11 1 1
10 10 10 10 1 1

ACD + AB + BC ABC + ABC + BCD AC + AB + BC ABCD + BCD + ABD + ABC

2-17.
a) 00 01 11 10 b) 00 01 11 10
00 1 1 00
01 1 1 01 1 1 1
11 1 1 1 11 1 1
10 1 1 10

ABD + C BCD + ABC + ABC

2-18.*
a) b) c)
Y C
Y 1 1 1
1 1 1 1 1 1
X B
X 1 1 1 1 1 1 1 1
W A
Z 1 1 1
Z D
Σm(3, 5, 6, 7) Σm(3, 4, 5, 7, 9, 13, 14, 15) Σm(0, 2, 6, 7, 8, 10, 13, 15)

6
Problem Solutions, Global Edition – Chapter 2

2-19.*
a) Prime = XZ , WX , XZ , WZ b) Prime = CD , AC, BD , ABD, BC c) Prime = AB, AC, AD , BC, BD, CD
Essential = XZ , XZ Essential = AC, BD , ABD Essential = AC, BC , BD

2-20.
a) 00 01 11 10 b) 00 01 11 10 c) 00 01 11 10
00 1 1 00 1 1 00 1 1
01 1 1 1 01 1 1 01 1
11 1 1 1 11 1 1 11 1 1 1
10 1 10 10 1 1
Prime = BD Prime = BD, AD Prime = CD, BD
Essential = BD, ABC , ABC Essential = BD, AD Essential = CD, BD, ABD
F = ABC + ABC + ABC + ACD + BD =
F AD + BD F = ABD + BD + CD

2-21.
a) 00 01 11 10 b) 00 01 11 10
00 1 1 1 00 1 1 1 1
01 1 1 1 01 1
11 1 1 1 11 1
10 10 1 1 1 1

(C + D)( A + B ) ( B + D)(C + B )

2-22.*
a) s.o.p. CD + AC + BD b) s.o.p. AC + BD + AD c) s.o.p. BD + ABD + ( ABC or ACD )
p.o.s. (C + D )( A + D )( A + B + C) p.o.s. (C + D )( A + D )( A + B + C ) p.o.s. ( A + B)( B + D )( B + C + D )

2-23.
a) 00 01 11 10 b) 00 01 11 10
00 1 1 1 00 1 1 1 1
01 1 01 1 1
11 1 1 1 11 1 1 1
10 10 1 1

POS = ( A + B + D)(C + D)( A + B ) POS = ( A + B + D)( A + B + D)


SOP = ACD + ABD + ABC + ABD SOP = AB + AB + D

7
Problem Solutions, Global Edition – Chapter 2

2-24.
a) 00 01 11 10 b) 00 01 11 10 c) 00 01 11 10
00 ? ? 1 1 00 1 1 1 1 00 ? 1 ? 1
01 1 1 01 1 1 01 ? 1 ? 1
11 1 1 ? 1 11 1 1 11 ?
10 1 1 10 1 ? ? 1 10 1 1 1

AB + AB + D B+D CB + BD + A

2-25.*
a) b) Y c) C
B 1 1
X X
X 1 1 1 X 1 X 1 1
X B
A 1 X 1 X 1 1 1 1 X
W A
1 X 1 X X
C
Z D
Primes = AB, AC, BC, ABC Primes = XZ , XZ , WXY , WXY , WYZ , WYZ Primes = AB, C, AD , BD
Essential = AB, AC, BC Essential = XZ Essential = C, AD
F = AB + AC + BC F =XZ + WXY + WXY F= C + AD ( BD or AB)

2-26.
a) 00 01 11 10 b) 00 01 11 10
00 1 1 00 ? 1
01 1 1 ? 1 01 1 1 1
11 ? 1 11 ? 1 ?
10 ? ? 1 10 ? 1

POS = ( A + B + C )( A + D)( A + C ) POS = ( A + C + D)( B + D)( A + D)


SOP = ACD + AC + AB SOP = ABD + CD + AD

2-27.*
X ⊕ Y= XY + XY
Dual(X =
⊕ Y ) Dual( XY + XY )
=
( X + Y )( X + Y )

= XY + XY
= XY + XY
= X ⊕Y

8
Problem Solutions, Global Edition – Chapter 2

2-28.
ABCD + AD + AD
= ABCD + ( A ⊕ D )
Note that X + Y = ( X ⊕ Y ) + XY
Letting X= ABCD and Y= A ⊕ D ,
We can observe from the map below or determine algebraically that XY is equal to 0.

C
1 1
1 1
B
1 1
A
1 1 1
D

For this situation,


X + Y = ( X ⊕ Y ) + XY
= ( X ⊕ Y) + 0
= X ⊕Y
So, we can write F ( A, B, C, D ) = X ⊕ Y = ABCD ⊕ ( A ⊕ D )

A
B
D
C
F

2-29.*
The longest path is from input C or D.
0.073 ns + 0.073 ns + 0.048 ns + 0.073 ns = 0.267 ns

2-30.

a)

b)

c)

0 1.0 2.0 3.0 4.0 5.0 6.0 7.0 8.0 9.0 ns

9
Problem Solutions, Global Edition – Chapter 2

2-31.
a) t PHL-C, D to F =2t PLH + 2 t PHL =2(0.36) + 2(0.20) =1.12 ns
t PLH-C, D to F = 2t PHL + 2t PLH = 2(0.20) + 2(0.36) = 1.12 ns
t pd = 1.12 ns
t PHL-B to F = 2t PHL + t PLH = 2(0.20) + (0.36) = 0.76 ns
t PLH-B to F = 2t PHL + t PLH = 2(0.36) + (0.20) = 0.92 ns
t pd-B to F = 0.76 + 0.92 = 0.84 ns
t PHL-A, B, C to F = t PLH + t PHL = 0.36 + 0.20 = 0.56 ns
t PLH-A, B, C to F = t PHL + t PLH = 0.20 + 0.36 = 0.56 ns
t pd-A, B, C to F = 0.56 ns
b) t pd-C, D=
to F 4= = 1.12 ns
t pd 4(0.28)
t pd-B=
to F
3= = 0.78 ns
t pd 3(0.28)
=
t pd-A, B, C to F 2= = 0.56 ns
t pd 2(0.28)
c) For paths through an odd number of inverting gates with unequal gate t PHL and t PLH , path t PHL , t PLH , and t pd are different.
For paths through an even number of inverting gates, path t PHL , t PLH , and t pd are equal.

2-32.
If the rejection time for inertial delays is greater than the propagation delay, then an output change can occur before it
can be predicted whether or not it is to occur due to the rejection time.
For example, with a delay of 2 ns and a rejection time of 3 ns, for a 2.5 ns pulse, the initial edge will have already
appeared at the output before the 3 ns has elapsed at which whether to reject or not is to be determined.

2-33.+
a) The propagation delay is=
t pd max(=
t PHL 0.05,= = 0.10 ns.
t PLH 0.10)
Assuming that the gate is an inverter, for a positive output pulse, the following actually occurs:

0.05 ns
0.10 ns

If the input pulse is narrower than 0.05 ns, no output pulse occurs so the rejection time is 0.05 ns. The resulting model
predicts the following results, which differ from the actual delay behavior, but models the rejection behavior: :

0.10 ns
0.10 ns

b) For a negative output pulse, the following actually occurs:

0.05ns
0.15ns 0.10ns

The model predicts the following results, which differs from the actual delay behavior and from the actual rejection
behavior:

10
Problem Solutions, Global Edition – Chapter 2

0.10ns 0.10ns

Overall, the model is inaccurate for both cases a and b, and provides a faulty rejection model for case b. Using an
average of t PHL and t PLH for t pd would improve the delay accuracy of the model for circuit applications, but the
rejection model still fails.

2-34.*
N 1
X 1 N 2

X 2
N 3 N 6 f
N 4
X 3
N 5
X 4

2-35.
-- Figure 4-40: Structural VHDL Description
library ieee;
use ieee.std_logic_1164.all;
entity nand2 is
port(in1, in2: in std_logic;
out1 : out std_logic);
end nand2;

architecture concurrent of nand2 is


begin
out1 <= not (in1 and in2);
end architecture;

library ieee;
use ieee.std_logic_1164.all;
entity nand3 is
port(in1, in2, in3 : in std_logic;
out1 : out std_logic);
end nand3;

architecture concurrent of nand3 is


begin
out1 <= not (in1 and in2 and in3);
end concurrent;

library ieee;
use ieee.std_logic_1164.all;
entity nand4 is
port(in1, in2, in3, in4: in std_logic;
out1 : out std_logic);
end nand4;
-- The code above this point could be eliminated by using the library, func_prims.

library ieee;
use ieee.std_logic_1164.all;
entity fig440 is
port(X: in std_logic_vector(2 to 0);
f: out std_logic);

11
Problem Solutions, Global Edition – Chapter 2

end fig440;
architecture structural_2 of fig440 is

component NAND2
port(in1, in2: in std_logic;
out1: out std_logic);
end component;

component NAND3
port(in1, in2, in3: in std_logic;
out1: out std_logic);
end component;

signal T: std_logic_vector(0 to 4);


begin
g0: NAND2 port map (X(2),X(1),T(0));
g1: NAND2 port map (X(2),T(0),T(1));
g2: NAND2 port map (X(1),T(0),T(2));
g3: NAND3 port map (X(1),T(1),T(2),T(3));
g4: NAND2 port map (X(1),T(2),T(4));
g5: NAND2 port map (T(3),T(4),f);
end structural_2;

=F X 0 X 2 + X1X 0

2-36.
begin
g0: NOT_1 port map (D, x1); X= D + BC
g1: AND_2 port map (B, C, x2); Y = ABCD
g2: NOR_2 port map (A, x1, x3);
g3: NAND_2 port map (x1, x3, x4);
g4: OR_2 port map (x1, x2, x5);
g5: AND_2 port map (x4, x5, X);
g6: AND_2 port map (x3, x5, Y);
end structural_1;

12
Problem Solutions, Global Edition – Chapter 2

2-37.
a
b
a f
c
b
c
b g
a
c
d

2-38.*
begin
F <= (X and Z) or ((not Y) and Z);
end;

2-39.*
N 1
X 1 N 2

X 2
N 3 N 6 f
N 4
X 3
N 5
X 4

2-40.
module circuit_4_50(A, B, C, D, X, Y);
input A, B, C, D;
output X, Y;

wire n1, n2, n3, n4, n5;

not
go(n1, D);

nand
g1(n4, n1, n3);

and
g2(n2, B, C),
g3(X, n4, n5),
g4(Y, n3, n5);

or
g5(n5, n1, n2);
nor
g6(n3, n1, A);
endmodule

13
Problem Solutions, Global Edition – Chapter 2

2-41.
module circuit_4_51(X, F);
input [2:0] X;
output F;

wire [0:4] T;
nand
g0(T[0],X[0],X[1]),
g1(T[1],X[0],T[0]),
g2(T[2],X[1],T[0]),
g3(T[3],X[2],T[1],T[2]),
g4(T[4],X[2],T[2]),
g5(F,T[3],T[4]);
endmodule

2-42.
a
b
a f
c
b
c
b g
a
c
d

2-43.*
module circuit_4_53(X, Y, Z, F);
input X, Y, Z;
output F;
assign F = (X & Z) | (Z & ~Y);
endmodule

14

You might also like