VHDL Basics: BY: Varun Tiwari VNIT, Nagpur
VHDL Basics: BY: Varun Tiwari VNIT, Nagpur
BY:
Varun Tiwari
VNIT, Nagpur
3. Microprocessors/Microcontrollers 1970s
5. FPGAs/ASICs 1990s
6. System on Chip 2000s
VHSIC --
Very High Speed Integrated Circuits
Lines with comments start with two adjacent hyphens (--) and will be
ignored by the compiler.
VHDL is a strongly typed language which implies that one has always to
declare the type of every object that can have a value, such as signals,
constants and variables.
entity NAME_OF_ENTITY is
port (signal_names: mode type;
signal_names: mode type;
:
signal_names: mode type);
end [NAME_OF_ENTITY] ;
entity adder is
port (a, b : in bit_vector(0 to 1);
sum : out bit_vector(0 to 1);
carry : out bit );
end adder;
Ex. For using std_logic one has specify the library and package and
this done at beginning.
library ieee;
use ieee.std_logic_1164.all;
entity adder is
port (a, b : in std_logic;
sum, carry : out std_logic);
end adder;