GHDL
GHDL
Tristan Gingold
Copyright
c 2002-2010 Tristan Gingold.
Permission is granted to copy, distribute and/or modify this document under the terms of
the GNU Free Documentation License, Version 1.1 or any later version published by the
Free Software Foundation.
i
Table of Contents
1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.1 Content of this manual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 What is VHDL? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.3 What is GHDL? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
3 Invoking GHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
3.1 Building commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
3.1.1 Analysis command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
3.1.2 Elaboration command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
3.1.3 Run command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
3.1.4 Elaborate and run command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
3.1.5 Bind command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
3.1.6 Link command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
3.1.7 List link command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
3.1.8 Check syntax command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
3.1.9 Analyze and elaborate command. . . . . . . . . . . . . . . . . . . . . . . . . . . 9
3.2 GHDL options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
3.3 Passing options to other programs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
3.4 GHDL warnings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
3.5 Rebuilding commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
3.5.1 Import command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
3.5.2 Make command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
3.5.3 Generate Makefile command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
3.6 Library commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
3.6.1 Directory command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
3.6.2 Clean command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
3.6.3 Remove command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
3.6.4 Copy command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
3.7 Cross-reference command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
3.8 File commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
3.8.1 Pretty print command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
3.8.2 Find command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
3.8.3 Chop command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
3.8.4 Lines command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
3.9 Misc commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
3.9.1 Help command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
3.9.2 Dispconfig command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
ii
8 Copyrights . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
Chapter 1: Introduction 1
1 Introduction
This command creates or updates a file ‘work-obj93.cf’, which describes the library
‘work’. On GNU/Linux, this command generates a file ‘hello.o’, which is the object file
corresponding to your VHDL program. The object file is not created on Windows.
Then, you have to build an executable file.
$ ghdl -e hello_world
The ‘-e’ option means elaborate. With this option, GHDL creates code in order to elab-
orate a design, with the ‘hello’ entity at the top of the hierarchy.
On GNU/Linux, the result is an executable program called ‘hello’ which can be run:
$ ghdl -r hello_world
or directly:
$ ./hello_world
3 Invoking GHDL
The form of the ghdl command is
$ ghdl command [options...]
The GHDL program has several commands. The first argument selects the commands.
The options are used to slightly modify the action.
No options are allowed before the command. Except for the run commands, no options
are allowed after a filename or a unit name.
binding indications according to the LRM rules. It also generates the list of objects files
required for the executable. Then, it links all these files with the runtime library.
The actual elaboration is performed at runtime.
On Windows this command can be skipped because it is also done by the run command.
This command may be used to check the syntax of files. It does not update the library.
On Windows:
$ ghdl -c [options] file... -r primary_unit [secondary_unit]
This command combines analysis and elaboration: files are analyzed and the unit is
then elaborated. However, code is only generated during the elaboration. On Windows the
simulation is launched.
To be more precise, the files are first parsed, and then the elaboration drives the analysis.
Therefore, there is no analysis order, and you don’t need to care about it.
All the units of the files are put into the ‘work’ library. But, the work library is neither
read from disk nor saved. Therefore, you must give all the files of the ‘work’ library your
design needs.
The advantages over the traditional approach (analyze and then elaborate) are:
• The compilation cycle is achieved in one command.
• Since the files are only parsed once, the compilation cycle may be faster.
• You don’t need to know an analysis order
• This command produces smaller executable, since unused units and subprograms do
not generate code.
However, you should know that currently most of the time is spent in code generation
and the analyze and elaborate command generate code for all units needed, even units of
‘std’ and ‘ieee’ libraries. Therefore, according to the design, the time for this command
may be higher than the time for the analyze command followed by the elaborate command.
This command is still experimental. In case of problems, you should go back to the
traditional way.
Chapter 3: Invoking GHDL 10
and have been placed in the IEEE library without the permission
from the ieee.
‘mentor’ Supply the standard packages and the following additional package:
‘std_logic_arith’. The package is a slight variation of a definitely
not standard but widely mis-used package.
To avoid errors, you must use the same IEEE library for all units of your design,
and during elaboration.
-PDIRECTORY
Add DIRECTORY to the end of the list of directories to be searched for library
files.
The WORK library is always searched in the path specified by the ‘--workdir=’
option, or in the current directory if the latter option is not specified.
-fexplicit
When two operators are overloaded, give preference to the explicit declaration.
This may be used to avoid the most common pitfall of the ‘std_logic_arith’
package. See Section 3.11 [IEEE library pitfalls], page 17, for an example.
This option is not set by default. I don’t think this option is a good feature,
because it breaks the encapsulation rule. When set, an operator can be silently
overridden in another package. You’d better to fix your design and use the
‘numeric_std’ package.
-fpsl Enable parsing of PSL assertions within comments. See Section 5.2 [PSL im-
plementation], page 26, for more details.
--no-vital-checks
--vital-checks
Disable or enable checks of restriction on VITAL units. Checks are enabled by
default.
Checks are performed only when a design unit is decorated by a VITAL at-
tribute. The VITAL attributes are ‘VITAL_Level0’ and ‘VITAL_Level1’, both
declared in the ‘ieee.VITAL_Timing’ package.
Currently, VITAL checks are only partially implemented. See Section 6.2
[VHDL restrictions for VITAL], page 33, for more details.
--syn-binding
Use synthesizer rules for component binding. During elaboration, if a compo-
nent is not bound to an entity using VHDL LRM rules, try to find in any known
library an entity whose name is the same as the component name.
This rule is known as synthesizer rule.
There are two key points: normal VHDL LRM rules are tried first and entities
are searched only in known library. A known library is a library which has been
named in your design.
This option is only useful during elaboration.
--PREFIX=PATH
Use PATH as the prefix path to find commands and pre-installed (std and ieee)
libraries.
Chapter 3: Invoking GHDL 12
--GHDL1=COMMAND
Use COMMAND as the command name for the compiler. If COMMAND is
not a path, then it is search in the list of program directories.
-v Be verbose. For example, for analysis, elaboration and make commands, GHDL
displays the commands executed.
--warn-library
Warns if a design unit replaces another design unit with the same name.
--warn-vital-generic
Warns if a generic name of a vital entity is not a vital generic name. This is
set by default.
--warn-delayed-checks
Warns for checks that cannot be done during analysis time and are postponed to
elaboration time. This is because not all procedure bodies are available during
analysis (either because a package body has not yet been analysed or because
GHDL doesn’t read not required package bodies).
These are checks for no wait statement in a procedure called in a sensitized
process and checks for pure rules of a function.
--warn-body
Emit a warning if a package body which is not required is analyzed. If a
package does not declare a subprogram or a deferred constant, the package
does not require a body.
--warn-specs
Emit a warning if an all or others specification does not apply.
--warn-unused
Emit a warning when a subprogram is never used.
--warn-error
When this option is set, warnings are considered as errors.
All the files specified in the command line are scanned, parsed and added in the libraries
but as not yet analyzed. No object files are created.
The purpose of this command is to localize design units in the design files. The make
command will then be able to recursively build a hierarchy from an entity name or a
configuration name.
Since the files are parsed, there must be correct files. However, since they are not
analyzed, many errors are tolerated by this command.
Note that all the files are added to the work library. If you have many libraries, you
must use the command for each library.
See Section 3.5.2 [Make command], page 14, to actually build the design.
Chapter 3: Invoking GHDL 14
GHDL tries to remove any object, executable or temporary file it could have created.
Source files are not removed.
There is no short command line form for this option to prevent accidental clean up.
There is no short command line form for this option to prevent accidental clean up. Note
that after removing a design library, the files are not known anymore by GHDL.
Make a local copy of an existing library. This is very useful if you want to add unit to
the ‘ieee’ library:
$ ghdl --copy --work=ieee --ieee=synopsys
$ ghdl -a --work=ieee numeric_unsigned.vhd
This command generates an html file for each file given in the command line, with syntax
highlighting and full cross-reference: every identifier is a link to its declaration. Besides, an
index of the files is created too.
The set of file are analyzed, and then, if the analysis is successful, html files are generated
in the directory specified by the ‘-o dir’ option, or ‘html/’ directory by default.
If the ‘--format=html2’ is specified, then the generated html files follow the HTML 2.0
standard, and colours are specified with ‘<FONT>’ tags. However, colours are hard-coded.
If the ‘--format=css’ is specified, then the generated html files follow the HTML 4.0
standard, and use the CSS-1 file ‘ghdl.css’ to specify colours. This file is generated only
if it does not already exist (it is never overwritten) and can be customized by the user
to change colours or appearance. Refer to a generated file and its comments for more
information.
$ ghdl --help
$ ghdl -h
$ ghdl -h command
end counter;
library ieee;
use ieee.std_logic_unsigned.all;
val <= v;
end bad;
When you analyze this design, GHDL does not accept it (too long lines have been split
for readability):
$ ghdl -a --ieee=synopsys bad_counter.vhdl
bad_counter.vhdl:13:14: operator "=" is overloaded
bad_counter.vhdl:13:14: possible interpretations are:
../../libraries/ieee/std_logic_1164.v93:69:5: implicit function "="
[std_logic_vector, std_logic_vector return boolean]
../../libraries/synopsys/std_logic_unsigned.vhdl:64:5: function "="
[std_logic_vector, std_logic_vector return boolean]
../translate/ghdldrv/ghdl: compilation error
Indeed, the "=" operator is defined in both packages, and both are visible at the place it
is used. The first declaration is an implicit one, which occurs when the std_logic_vector
type is declared and is an element to element comparison, the second one is an explicit
declared function, with the semantic of an unsigned comparison.
With some analyser, the explicit declaration has priority over the implicit declaration,
and this design can be analyzed without error. However, this is not the rule given by the
VHDL LRM, and since GHDL follows these rules, it emits an error.
You can force GHDL to use this rule with the ‘-fexplicit’ option. See Section 3.2
[GHDL options], page 10, for more details.
However it is easy to fix this error, by using a selected name:
library ieee;
use ieee.std_logic_unsigned.all;
Chapter 3: Invoking GHDL 19
val <= v;
end fixed_bad;
It is better to only use the standard packages defined by IEEE, which provides the same
functionalities:
library ieee;
use ieee.numeric_std.all;
--stop-delta=N
Stop the simulation after N delta cycles in the same current time.
--disp-time
Display the time and delta cycle number as simulation advances.
Chapter 4: Simulation and runtime 22
--disp-tree[=KIND]
Display the design hierarchy as a tree of instantiated design entities. This may
be useful to understand the structure of a complex design. KIND is optional,
but if set must be one of:
‘none’ Do not display hierarchy. Same as if the option was not present.
‘inst’ Display entities, architectures, instances, blocks and generates
statements.
‘proc’ Like ‘inst’ but also display processes.
‘port’ Like ‘proc’ but display ports and signals too.
If KIND is not specified, the hierarchy is displayed with the ‘port’ mode.
--no-run Do not simulate, only elaborate. This may be used with ‘--disp-tree’ to
display the tree without simulating the whole design.
--vcd=FILENAME
--vcdgz=FILENAME
‘--vcd’ dumps into the VCD file FILENAME the signal values before each non-
delta cycle. If FILENAME is ‘-’, then the standard output is used, otherwise
a file is created or overwritten.
The ‘--vcdgz’ option is the same as the ‘--vcd’ option, but the output is
compressed using the zlib (gzip compression). However, you can’t use the ‘-’
filename. Furthermore, only one VCD file can be written.
VCD (value change dump) is a file format defined by the verilog standard
and used by virtually any wave viewer.
Since it comes from verilog, only a few VHDL types can be dumped. GHDL
dumps only signals whose base type is of the following:
• types defined in the ‘std.standard’ package:
• ‘bit’
• ‘bit_vector’
• types defined in the ‘ieee.std_logic_1164’ package:
• ‘std_ulogic’
• ‘std_logic’ (because it is a subtype of ‘std_ulogic’)
• ‘std_ulogic_vector’
• ‘std_logic_vector’
• any integer type
I have successfully used gtkwave to view VCD files.
Currently, there is no way to select signals to be dumped: all signals are
dumped, which can generate big files.
It is very unfortunate there is no standard or well-known wave file format sup-
porting VHDL types. If you are aware of such a free format, please mail me
(see Section 7.2 [Reporting bugs], page 35).
Chapter 4: Simulation and runtime 23
--wave=FILENAME
Write the waveforms into a ghw (GHdl Waveform) file. Currently, all the signals
are dumped into the waveform file, you cannot select a hierarchy of signals to
be dumped.
The format of this file was defined by myself and is not yet completely fixed. It
may change slightly.
There is a patch against gtkwave 1.3.72 on the ghdl website at ghdl.free.fr,
so that it can read such files.
Contrary to VCD files, any VHDL type can be dumped into a GHW file.
--sdf=PATH=FILENAME
--sdf=min=PATH=FILENAME
--sdf=typ=PATH=FILENAME
--sdf=max=PATH=FILENAME
Do VITAL annotation on PATH with SDF file FILENAME.
PATH is a path of instances, separated with ‘.’ or ‘/’. Any separator can be
used. Instances are component instantiation labels, generate labels or block
labels. Currently, you cannot use an indexed name.
If the option contains a type of delay, that is ‘min=’, ‘typ=’ or ‘max=’, the
annotator use respectively minimum, typical or maximum values. If the option
does not contain a type of delay, the annotator use the typical delay.
See Section 6.3 [Backannotation], page 33, for more details.
--stack-max-size=SIZE
Set the maximum size in bytes of the non-sensitized processes stacks.
If the value SIZE is followed (without any space) by the ‘k’, ‘K’, ‘kb’, ‘Kb’, ‘ko’
or ‘Ko’ multiplier, then the size is the numeric value multiplied by 1024.
If the value SIZE is followed (without any space) by the ‘m’, ‘M’, ‘mb’, ‘Mb’, ‘mo’
or ‘Mo’ multiplier, then the size is the numeric value multiplied by 1024 * 1024
= 1048576.
Each non-sensitized process has its own stack, while the sensitized processes
share the same and main stack. This stack is the stack created by the operating
system.
Using too small stacks may result in simulation failure due to lack of memory.
Using too big stacks may reduce the maximum number of processes.
--stack-size=SIZE
Set the initial size in bytes of the non-sensitized processes stack. The SIZE
value has the same format as the previous option.
The stack of the non-sensitized processes grows until reaching the maximum
size limit.
--help Display a short description of the options accepted by the runtime library.
GDB is a general purpose debugger for programs compiled by GCC. Currently, there is no
VHDL support for GDB. It may be difficult to inspect variables or signals in GDB, however,
GDB is still able to display the stack frame in case of error or to set a breakpoint at a specified
line.
GDB can be useful to precisely catch a runtime error, such as indexing an array beyond
its bounds. All error check subprograms call the __ghdl_fatal procedure. Therefore, to
catch runtime error, set a breakpoint like this:
(gdb) break __ghdl_fatal
When the breakpoint is hit, use the where or bt command to display the stack frames.
Chapter 5: GHDL implementation of VHDL 25
You don’t have to know how to read a library file. You can display it using the ‘-d’
of ghdl. The file contains the name of the design units, as well as the location and the
dependencies.
The format may change with the next version of GHDL.
begin
assert false severity failure;
end sin;
end math;
A subprogram is made foreign if the foreign attribute decorates it. This attribute is
declared in the 1993 revision of the ‘std.standard’ package. Therefore, you cannot use
this feature in VHDL 1987.
The decoration is achieved through an attribute specification. The attribute specification
must be in the same declarative part as the subprogram and must be after it. This is a
general rule for specifications. The value of the specification must be a locally static string.
Even when a subprogram is foreign, its body must be present. However, since it won’t
be called, you can made it empty or simply but an assertion.
The value of the attribute must start with ‘VHPIDIRECT ’ (an upper-case keyword fol-
lowed by one or more blanks). The linkage name of the subprogram follows.
For using AVHPI, you need the sources of GHDL and to recompile them (at least the GRT
library). This library is usually compiled with a No_Run_Time pragma, so that the user does
not need to install the GNAT runtime library. However, you certainly want to use the usual
runtime library and want to avoid this pragma. For this, reset the GRT PRAGMA FLAG
variable.
$ make GRT_PRAGMA_FLAG= grt-all
Since GRT is a self-contained library, you don’t want gnatlink to fetch individual object
files (furthermore this doesn’t always work due to tricks used in GRT). For this, remove all
the object files and make the ‘.ali’ files read-only.
$ rm *.o
$ chmod -w *.ali
You may then install the sources files and the ‘.ali’ files. I have never tested this step.
You are now ready to use it.
For example, here is an example, ‘test_grt.adb’ which displays the top level design
name.
with System; use System;
with Grt.Avhpi; use Grt.Avhpi;
with Ada.Text_IO; use Ada.Text_IO;
with Ghdl_Main;
procedure Test_Grt is
-- VHPI handle.
H : VhpiHandleT;
Status : Integer;
-- Name.
Name : String (1 .. 64);
Name_Len : Integer;
begin
-- Elaborate and run the design.
Status := Ghdl_Main (0, Null_Address);
6.3 Backannotation
Backannotation is the process of setting VITAL generics with timing information provided
by an external files.
The external files must be SDF (Standard Delay Format) files. GHDL supports a tiny
subset of SDF version 2.1, other version number can be used, provided no features added
by the next version are used.
Hierarchical instance names are not supported. However you can use a list of instances.
If there is no instance, the top entity will be annotated and the celltype must be the
name of the top entity. If there is at least one instance, the last instance name must be
a component instantiation label, and the celltype must be the name of the component
declaration instantiated.
Instances being annotated are not required to be VITAL compliant. However generics
being annotated must follow rules of VITAL (e.g., type must be a suitable vital delay type).
Chapter 6: GHDL implementation of VITAL 34
7.1 Deficiencies
Here is the non-exhaustive list of flaws:
• So far, GHDL has been compiled and tested only on ‘i386-linux’ systems.
• Overflow detection is not yet implemented.
• Some constraint checks are missing.
• VHDL-93 is not completely implemented.
• There are no checks for elaboration order.
• This list is not exhaustive.
• ...
8 Copyrights
The GHDL front-end, the ‘std.textio’ package and the runtime library (grt) are copy-
righted Tristan Gingold, come with absolutely no warranty, and are distributed under the
conditions of the General Public License.
The ‘ieee.numeric_bit’ and ‘ieee.numeric_std’ packages are copyrighted by the
IEEE. The source files may be distributed without change, except as permitted by the
standard. This source file may not be sold or distributed for profit. See the source file and
the IEEE 1076.3 standard for more information.
The ‘ieee.std_logic_1164’ package is copyrighted by the IEEE. See source file and
the IEEE 1164 standard for more information.
The ‘ieee.VITAL_Primitives’, ‘ieee.VITAL_Timing’ and ‘ieee.VITAL_Memory’ pack-
ages are copyrighted by IEEE. See source file and the IEEE 1076.4 standards for more
information.
The ‘ieee.Math_Real’ and ‘ieee.Math_Complex’ packages are copyrighted by IEEE.
These are draft versions which may used and distributed without restriction. These packages
cannot be sold or distributed for profit. See source files for more information.
The packages ‘std_logic_arith’, ‘std_logic_signed’, ‘std_logic_unsigned’ and
‘std_logic_textio’ contained in the ‘synopsys’ directory are copyrighted by Synopsys,
Inc. The source files may be used and distributed without restriction provided that the
copyright statements are not removed from the files and that any derivative work contains
the copyright notice. See the source files for more information.
The package ‘std_logic_arith’ contained in the ‘mentor’ directory is copyrighted by
Mentor Graphics. The source files may be distributed in whole without restriction provided
that the copyright statement is not removed from the file and that any derivative work
contains this copyright notice. See the source files for more information.
As a consequence of the runtime copyright, you may not be allowed to distribute an
executable produced by GHDL without the VHDL sources. To my mind, this is not a real re-
striction, since there is no points in distributing VHDL executable. Please, send a comment
(see Section 7.2 [Reporting bugs], page 35) if you don’t like this policy.
Index 38
Index
- ‘-fpsl’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
‘--assert-level’ option . . . . . . . . . . . . . . . . . . . . . . . 21 ‘-h’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
‘--bind’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 ‘-i’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
‘--chop’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 ‘-m’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
‘--clean’ command. . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 ‘-P’ switch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
‘--copy’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 ‘-r’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
‘--disp-standard’ command . . . . . . . . . . . . . . . . . . 17 ‘-s’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
‘--disp-time’ option . . . . . . . . . . . . . . . . . . . . . . . . . . 21 ‘-W’ switch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
‘--disp-tree’ option . . . . . . . . . . . . . . . . . . . . . . . . . . 22 ‘-Wa’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
‘--dispconfig’ command . . . . . . . . . . . . . . . . . . . . . . 17 ‘-Wl’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
‘--elab-run’ command . . . . . . . . . . . . . . . . . . . . . . . . . 8
‘--gen-makefile’ command . . . . . . . . . . . . . . . . . . . 14
‘--GHLD1’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
‘--help’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 __ghdl_fatal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
‘--ieee’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
‘--ieee-asserts’ option . . . . . . . . . . . . . . . . . . . . . . . 21
‘--lines’ command. . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
1
‘--link’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 1076. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
‘--list-link’ command . . . . . . . . . . . . . . . . . . . . . . . . 9 1076.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
‘--no-run’ option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 1076.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
‘--no-vital-checks’ switch . . . . . . . . . . . . . . . . . . . 11 1076a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
‘--pp-html’ command . . . . . . . . . . . . . . . . . . . . . . . . . 16 1164. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
‘--PREFIX’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
‘--remove’ command . . . . . . . . . . . . . . . . . . . . . . . . . . 15
‘--sdf’ option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
A
‘--stack-max-size’ option . . . . . . . . . . . . . . . . . . . . 23 analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
‘--stack-size’ option . . . . . . . . . . . . . . . . . . . . . . . . . 23 Analyze and elaborate command . . . . . . . . . . . . . . . . 9
‘--std’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
‘--stop-delta’ option . . . . . . . . . . . . . . . . . . . . . . . . . 21
‘--stop-time’ option . . . . . . . . . . . . . . . . . . . . . . . . . . 21 B
‘--syn-binding’ switch . . . . . . . . . . . . . . . . . . . . . . . . 11 binding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
‘--vcd’ option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
‘--vcdgz’ option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
‘--version’ command . . . . . . . . . . . . . . . . . . . . . . . . . 17 C
‘--vital-checks’ switch . . . . . . . . . . . . . . . . . . . . . . . 11 checking syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
‘--warn-binding’ switch . . . . . . . . . . . . . . . . . . . . . . . 12 cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
‘--warn-body’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . 13 cleaning all . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
‘--warn-default-binding’ switch. . . . . . . . . . . . . . 12 copying library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
‘--warn-delayed-checks’ switch . . . . . . . . . . . . . . . 13
‘--warn-error’ switch . . . . . . . . . . . . . . . . . . . . . . . . . 13
‘--warn-library’ switch . . . . . . . . . . . . . . . . . . . . . . . 13 D
‘--warn-reserved’ switch . . . . . . . . . . . . . . . . . . . . . . 12
debugging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
‘--warn-specs’ switch . . . . . . . . . . . . . . . . . . . . . . . . . 13
display configuration. . . . . . . . . . . . . . . . . . . . . . . . . . . 17
‘--warn-unused’ switch . . . . . . . . . . . . . . . . . . . . . . . . 13
display design hierarchy . . . . . . . . . . . . . . . . . . . . . . . 22
‘--warn-vital-generic’ switch . . . . . . . . . . . . . . . . 13 display ‘std.standard’ . . . . . . . . . . . . . . . . . . . . . . . . 17
‘--wave’ option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 display time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
‘--work’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 displaying library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
‘--workdir’ switch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 dump of signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
‘-a’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
‘-c’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
‘-d’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 E
‘-e’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
‘-f’ command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 elaborate and run . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
‘-fexplicit’ switch . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 elaboration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
Index 39
F P
file format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 pretty printing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
foreign . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
R
I run . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
IEEE 1076 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
IEEE 1076.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
IEEE 1076.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 S
IEEE 1076a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
IEEE 1164 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 SDF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
ieee library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 synopsys library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
importing files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
interfacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
V
v00 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
L v02 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
linking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 v87 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
logical name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 v93 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
v93c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
value change dump . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
M vcd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
make . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 version . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
math complex . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 VHDL standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
Math Complex . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 vhdl to html . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
math real . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 VHPI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
Math Real . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 VHPIDIRECT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
mentor library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 VITAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
O W
other languages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 WORK library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10