Control Manual LCD VHDL
Control Manual LCD VHDL
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
entity pantalla is
port(
DB: in std_logic_vector (7 downto 0);
RW: in std_logic;
RS:
in std_logic;
EN: in std_logic;
LCD_DB: out std_logic_vector (7 downto 0);
LCD_RW: out std_logic;
LCD_EN: out std_logic;
LCD_RS: out std_logic);
end entity pantalla;
architecture codigo of pantalla is
begin
LCD_DB <= DB;
LCD_RW <= RW;
LCD_RS <= RS;
LCD_EN <= not EN;
end architecture codigo;